From daa791d97b2325ae3e6e9f3815667d539b2c11fb Mon Sep 17 00:00:00 2001 From: Marcus Grieger Date: Sat, 27 Jan 2024 01:07:33 +0100 Subject: [PATCH] Added files of old hexapod project --- legacy/extra/buildroot-2009.08.config | 789 +++++++ legacy/flash-programmer/bbfifo_16x8.vhd | 281 +++ legacy/flash-programmer/kcpsm3.vhd | 1901 +++++++++++++++++ legacy/flash-programmer/kcuart_rx.vhd | 352 +++ legacy/flash-programmer/kcuart_tx.vhd | 394 ++++ .../parallel_flash_memory_uart_programmer.ucf | 91 + .../parallel_flash_memory_uart_programmer.vhd | 424 ++++ legacy/flash-programmer/progctrl.vhd | 459 ++++ legacy/flash-programmer/uart_rx.vhd | 146 ++ legacy/flash-programmer/uart_tx_plus.vhd | 154 ++ legacy/hexapod_gl/Makefile | 7 + legacy/hexapod_gl/hexapod.c | 159 ++ legacy/hexapod_gl/main.c | 79 + legacy/kernel/board-sam9260ek.c | 374 ++++ legacy/kernel/config | 1287 +++++++++++ legacy/linux/adtest/Makefile | 25 + legacy/linux/adtest/ad.bin | 1 + legacy/linux/adtest/calibration.bin | Bin 0 -> 96 bytes legacy/linux/adtest/floortouch.c | 159 ++ legacy/linux/adtest/main.c | 189 ++ legacy/linux/calibration/Makefile | 19 + legacy/linux/calibration/Makefile~ | 19 + legacy/linux/calibration/calibration.bin | Bin 0 -> 96 bytes legacy/linux/calibration/calibration.exe | Bin 0 -> 23247 bytes legacy/linux/calibration/main.c | 133 ++ legacy/linux/calibration/main.o | Bin 0 -> 4715 bytes legacy/linux/demo/Makefile | 17 + legacy/linux/demo/main.c | 457 ++++ legacy/linux/home/Makefile | 22 + legacy/linux/home/main.c | 78 + legacy/linux/ikcontrol/Makefile | 23 + legacy/linux/ikcontrol/main.c | 57 + legacy/linux/ikd/Makefile | 22 + legacy/linux/ikd/ikd.exe | Bin 0 -> 35458 bytes legacy/linux/ikd/licksnet.txt | 29 + legacy/linux/ikd/listener.c | 73 + legacy/linux/ikd/main.c | 232 ++ legacy/linux/ikd/main.o | Bin 0 -> 5516 bytes legacy/linux/ikd/message.h | 15 + legacy/linux/ikd/sender.c | 79 + legacy/linux/iktest/Makefile | 23 + legacy/linux/iktest/hexapod_gl | Bin 0 -> 18044 bytes legacy/linux/iktest/main.c | 65 + legacy/linux/js_udp_control/Makefile | 4 + legacy/linux/js_udp_control/jscontrol.c | 182 ++ legacy/linux/liblicks/Makefile | 26 + .../dynamic_sequencer/dynamic_sequencer.c | 302 +++ .../dynamic_sequencer/dynamic_sequencer.o | Bin 0 -> 6131 bytes legacy/linux/liblicks/fakespi/spi.c | 36 + legacy/linux/liblicks/fakespi/spi.o | Bin 0 -> 821 bytes legacy/linux/liblicks/ik/ik.c | 62 + legacy/linux/liblicks/ik/ik.c~ | 62 + legacy/linux/liblicks/ik/ik.o | Bin 0 -> 1784 bytes .../liblicks/include/dynamic_sequencer.h | 51 + legacy/linux/liblicks/include/ik.h | 30 + legacy/linux/liblicks/include/licks_message.h | 27 + .../linux/liblicks/include/licks_message.h~ | 25 + legacy/linux/liblicks/include/linalg.h | 22 + legacy/linux/liblicks/include/servo.h | 13 + legacy/linux/liblicks/include/spi.h | 44 + legacy/linux/liblicks/liblicks.a | Bin 0 -> 15012 bytes .../liblicks/licks_message/licks_message.c | 84 + .../liblicks/licks_message/licks_message.c~ | 84 + .../liblicks/licks_message/licks_message.o | Bin 0 -> 2155 bytes legacy/linux/liblicks/linalg/linalg.c | 76 + legacy/linux/liblicks/linalg/linalg.o | Bin 0 -> 1780 bytes legacy/linux/liblicks/servo/servo.c | 39 + legacy/linux/liblicks/servo/servo.o | Bin 0 -> 948 bytes legacy/linux/liblicks/spi/spi.c | 132 ++ legacy/linux/opencv-capture/Makefile | 19 + legacy/linux/opencv-capture/main.cpp | 21 + legacy/linux/sequencertest/Makefile | 23 + legacy/linux/sequencertest/main.c | 144 ++ legacy/linux/servooff/Makefile | 24 + legacy/linux/servooff/main.c | 11 + legacy/linux/simple_control/Makefile | 23 + legacy/linux/simple_control/main.c | 147 ++ legacy/linux/udpproxy/Makefile | 24 + legacy/linux/udpproxy/main.c | 83 + legacy/spicontroller/Makefile | 40 + legacy/spicontroller/create_loader.sh | 19 + legacy/spicontroller/image | Bin 0 -> 5060 bytes legacy/spicontroller/init.s | 24 + legacy/spicontroller/loader.s | 14 + legacy/spicontroller/main.c | 137 ++ legacy/spicontroller/transfer.sh | 14 + legacy/spicontroller/transfer_buildroot.sh | 16 + legacy/vhdl/alu.vhd | 61 + legacy/vhdl/bus_mux.vhd | 136 ++ legacy/vhdl/control.vhd | 481 +++++ legacy/vhdl/mem_ctrl.vhd | 196 ++ legacy/vhdl/mlite_cpu.vhd | 342 +++ legacy/vhdl/mlite_pack.vhd | 522 +++++ legacy/vhdl/mult.vhd | 208 ++ legacy/vhdl/pc_next.vhd | 71 + legacy/vhdl/pipeline.vhd | 139 ++ legacy/vhdl/plasma.vhd | 301 +++ legacy/vhdl/plasma_if.ucf | 62 + legacy/vhdl/plasma_if.vhd | 129 ++ legacy/vhdl/pwm2.vhd | 129 ++ legacy/vhdl/ram_xilinx.vhd | 350 +++ legacy/vhdl/reg_bank.vhd | 321 +++ legacy/vhdl/shifter.vhd | 65 + legacy/vhdl/spi.vhd | 202 ++ legacy/vhdl/top.vhd | 117 + legacy/vhdl/uart.vhd | 181 ++ 106 files changed, 14731 insertions(+) create mode 100755 legacy/extra/buildroot-2009.08.config create mode 100755 legacy/flash-programmer/bbfifo_16x8.vhd create mode 100755 legacy/flash-programmer/kcpsm3.vhd create mode 100755 legacy/flash-programmer/kcuart_rx.vhd create mode 100755 legacy/flash-programmer/kcuart_tx.vhd create mode 100755 legacy/flash-programmer/parallel_flash_memory_uart_programmer.ucf create mode 100755 legacy/flash-programmer/parallel_flash_memory_uart_programmer.vhd create mode 100755 legacy/flash-programmer/progctrl.vhd create mode 100755 legacy/flash-programmer/uart_rx.vhd create mode 100755 legacy/flash-programmer/uart_tx_plus.vhd create mode 100755 legacy/hexapod_gl/Makefile create mode 100755 legacy/hexapod_gl/hexapod.c create mode 100755 legacy/hexapod_gl/main.c create mode 100755 legacy/kernel/board-sam9260ek.c create mode 100755 legacy/kernel/config create mode 100755 legacy/linux/adtest/Makefile create mode 100755 legacy/linux/adtest/ad.bin create mode 100755 legacy/linux/adtest/calibration.bin create mode 100755 legacy/linux/adtest/floortouch.c create mode 100755 legacy/linux/adtest/main.c create mode 100755 legacy/linux/calibration/Makefile create mode 100755 legacy/linux/calibration/Makefile~ create mode 100755 legacy/linux/calibration/calibration.bin create mode 100755 legacy/linux/calibration/calibration.exe create mode 100755 legacy/linux/calibration/main.c create mode 100755 legacy/linux/calibration/main.o create mode 100755 legacy/linux/demo/Makefile create mode 100755 legacy/linux/demo/main.c create mode 100755 legacy/linux/home/Makefile create mode 100755 legacy/linux/home/main.c create mode 100755 legacy/linux/ikcontrol/Makefile create mode 100755 legacy/linux/ikcontrol/main.c create mode 100755 legacy/linux/ikd/Makefile create mode 100755 legacy/linux/ikd/ikd.exe create mode 100755 legacy/linux/ikd/licksnet.txt create mode 100755 legacy/linux/ikd/listener.c create mode 100755 legacy/linux/ikd/main.c create mode 100755 legacy/linux/ikd/main.o create mode 100755 legacy/linux/ikd/message.h create mode 100755 legacy/linux/ikd/sender.c create mode 100755 legacy/linux/iktest/Makefile create mode 100755 legacy/linux/iktest/hexapod_gl create mode 100755 legacy/linux/iktest/main.c create mode 100755 legacy/linux/js_udp_control/Makefile create mode 100755 legacy/linux/js_udp_control/jscontrol.c create mode 100755 legacy/linux/liblicks/Makefile create mode 100755 legacy/linux/liblicks/dynamic_sequencer/dynamic_sequencer.c create mode 100755 legacy/linux/liblicks/dynamic_sequencer/dynamic_sequencer.o create mode 100755 legacy/linux/liblicks/fakespi/spi.c create mode 100755 legacy/linux/liblicks/fakespi/spi.o create mode 100755 legacy/linux/liblicks/ik/ik.c create mode 100755 legacy/linux/liblicks/ik/ik.c~ create mode 100755 legacy/linux/liblicks/ik/ik.o create mode 100755 legacy/linux/liblicks/include/dynamic_sequencer.h create mode 100755 legacy/linux/liblicks/include/ik.h create mode 100755 legacy/linux/liblicks/include/licks_message.h create mode 100755 legacy/linux/liblicks/include/licks_message.h~ create mode 100755 legacy/linux/liblicks/include/linalg.h create mode 100755 legacy/linux/liblicks/include/servo.h create mode 100755 legacy/linux/liblicks/include/spi.h create mode 100755 legacy/linux/liblicks/liblicks.a create mode 100755 legacy/linux/liblicks/licks_message/licks_message.c create mode 100755 legacy/linux/liblicks/licks_message/licks_message.c~ create mode 100755 legacy/linux/liblicks/licks_message/licks_message.o create mode 100755 legacy/linux/liblicks/linalg/linalg.c create mode 100755 legacy/linux/liblicks/linalg/linalg.o create mode 100755 legacy/linux/liblicks/servo/servo.c create mode 100755 legacy/linux/liblicks/servo/servo.o create mode 100755 legacy/linux/liblicks/spi/spi.c create mode 100755 legacy/linux/opencv-capture/Makefile create mode 100755 legacy/linux/opencv-capture/main.cpp create mode 100755 legacy/linux/sequencertest/Makefile create mode 100755 legacy/linux/sequencertest/main.c create mode 100755 legacy/linux/servooff/Makefile create mode 100755 legacy/linux/servooff/main.c create mode 100755 legacy/linux/simple_control/Makefile create mode 100755 legacy/linux/simple_control/main.c create mode 100755 legacy/linux/udpproxy/Makefile create mode 100755 legacy/linux/udpproxy/main.c create mode 100755 legacy/spicontroller/Makefile create mode 100755 legacy/spicontroller/create_loader.sh create mode 100755 legacy/spicontroller/image create mode 100755 legacy/spicontroller/init.s create mode 100755 legacy/spicontroller/loader.s create mode 100755 legacy/spicontroller/main.c create mode 100755 legacy/spicontroller/transfer.sh create mode 100755 legacy/spicontroller/transfer_buildroot.sh create mode 100755 legacy/vhdl/alu.vhd create mode 100755 legacy/vhdl/bus_mux.vhd create mode 100755 legacy/vhdl/control.vhd create mode 100755 legacy/vhdl/mem_ctrl.vhd create mode 100755 legacy/vhdl/mlite_cpu.vhd create mode 100755 legacy/vhdl/mlite_pack.vhd create mode 100755 legacy/vhdl/mult.vhd create mode 100755 legacy/vhdl/pc_next.vhd create mode 100755 legacy/vhdl/pipeline.vhd create mode 100755 legacy/vhdl/plasma.vhd create mode 100755 legacy/vhdl/plasma_if.ucf create mode 100755 legacy/vhdl/plasma_if.vhd create mode 100755 legacy/vhdl/pwm2.vhd create mode 100755 legacy/vhdl/ram_xilinx.vhd create mode 100755 legacy/vhdl/reg_bank.vhd create mode 100755 legacy/vhdl/shifter.vhd create mode 100755 legacy/vhdl/spi.vhd create mode 100755 legacy/vhdl/top.vhd create mode 100755 legacy/vhdl/uart.vhd diff --git a/legacy/extra/buildroot-2009.08.config b/legacy/extra/buildroot-2009.08.config new file mode 100755 index 0000000..0daadd5 --- /dev/null +++ b/legacy/extra/buildroot-2009.08.config @@ -0,0 +1,789 @@ +# +# Automatically generated make config: don't edit +# Sat Feb 6 10:24:49 2010 +# +BR2_HAVE_DOT_CONFIG=y +BR2_VERSION="2009.08" +# BR2_alpha is not set +BR2_arm=y +# BR2_armeb is not set +# BR2_avr32 is not set +# BR2_cris is not set +# BR2_ia64 is not set +# BR2_i386 is not set +# BR2_m68k is not set +# BR2_mips is not set +# BR2_mipsel is not set +# BR2_nios2 is not set +# BR2_powerpc is not set +# BR2_sh is not set +# BR2_sh64 is not set +# BR2_sparc is not set +# BR2_sparc64 is not set +# BR2_x86_64 is not set +# BR2_xtensa is not set +# BR2_generic_arm is not set +# BR2_arm7tdmi is not set +# BR2_arm610 is not set +# BR2_arm710 is not set +# BR2_arm720t is not set +# BR2_arm920t is not set +# BR2_arm922t is not set +BR2_arm926t=y +# BR2_arm10t is not set +# BR2_arm1136jf_s is not set +# BR2_arm1176jz_s is not set +# BR2_arm1176jzf_s is not set +# BR2_sa110 is not set +# BR2_sa1100 is not set +# BR2_xscale is not set +# BR2_iwmmxt is not set +BR2_ARM_TYPE="ARM926T" +BR2_ARM_OABI=y +# BR2_ARM_EABI is not set +BR2_ARCH="arm" +BR2_ENDIAN="LITTLE" +BR2_GCC_TARGET_TUNE="arm9tdmi" +BR2_GCC_TARGET_ARCH="armv5te" +BR2_GCC_TARGET_ABI="apcs-gnu" + +# +# Target options +# + +# +# Project Options +# +BR2_PROJECT="LiCKS" +BR2_HOSTNAME="licks" +BR2_BANNER="Welcome to LiCKS!" + +# +# Preset Devices +# +# BR2_TARGET_AMD is not set +# BR2_TARGET_ARMLTD is not set +BR2_BOARD_NAME="at91sam9260ek" +BR2_BOARD_PATH="target/device/Atmel/$(BR2_BOARD_NAME)" +BR2_TARGET_ATMEL=y + +# +# Atmel AT91 Specific Device Support +# +BR2_TARGET_AT91=y + +# +# Selection criteria +# +BR2_TARGET_ATMEL_ALL=y + +# +# Device Selection +# +# BR2_TARGET_AT91RM9200 is not set +BR2_TARGET_AT91SAM9260=y +# BR2_TARGET_AT91SAM9G20 is not set +# BR2_TARGET_AT91SAM9261 is not set +# BR2_TARGET_AT91SAM9261S is not set +# BR2_TARGET_AT91SAM9RL64 is not set +# BR2_TARGET_AT91SAM9263 is not set +# BR2_TARGET_AT91SAM9G40 is not set +# BR2_TARGET_AT91SAM9M10 is not set +# BR2_TARGET_AT91SAM9M11 is not set +# BR2_TARGET_AT91SAM9XE is not set +# BR2_TARGET_AT572D940HF is not set +# BR2_TARGET_AT91CAP9 is not set + +# +# Development Board Selection +# +# BR2_TARGET_AT91RM9200DF is not set +# BR2_TARGET_AT91RM9200EK is not set +# BR2_TARGET_AT91RM9200DK is not set +# BR2_TARGET_AT91SAM9260DFC is not set +BR2_TARGET_AT91SAM9260EK=y +# BR2_TARGET_AT91SAM9G20DFC is not set +# BR2_TARGET_AT91SAM9G20EK is not set +# BR2_TARGET_AT91SAM9XEEK is not set +# BR2_TARGET_AT91SAM9261EK is not set +# BR2_TARGET_AT91SAM9RL64EK is not set +# BR2_TARGET_AT91SAM9263EK is not set +# BR2_TARGET_AT572D940DCM is not set +# BR2_TARGET_AT91CAP9DK is not set +# BR2_TARGET_AT91CAP9ADK is not set +BR2_TARGET_AT91_ADVANCED_INFO=y + +# +# Package support +# + +# +# Secondary locations +# +BR2_AT91_LINUXPATCH_SITE="http://maxim.org.za/AT91RM9200/2.6" +BR2_TARGET_ATMEL_COPYTO="/tftpboot" +# BR2_TARGET_AT91BOOTSTRAP is not set +# BR2_TARGET_KWIKBYTE is not set + +# +# Generic System Support +# +# BR2_TARGET_GENERIC_ACCESS_POINT is not set +# BR2_TARGET_GENERIC_FIREWALL is not set + +# +# Generic development system requires a toolchain with WCHAR and PROGRAM_INVOCATION support +# + +# +# Build options +# +BR2_WGET="wget --passive-ftp -nd" +BR2_SVN_CO="svn co" +BR2_SVN_UP="svn up" +BR2_GIT="git clone" +BR2_ZCAT="gzip -d -c" +BR2_BZCAT="bzcat" +BR2_TAR_OPTIONS="" +BR2_DL_DIR="$(BASE_DIR)/dl" +BR2_COPYTO="" + +# +# Mirrors and Download locations +# +BR2_PRIMARY_SITE="" +BR2_BACKUP_SITE="http://buildroot.net/downloads/sources/" +BR2_SOURCEFORGE_MIRROR="easynews" +BR2_KERNEL_MIRROR="http://www.kernel.org/pub/" +BR2_GNU_MIRROR="http://ftp.gnu.org/pub/gnu" +BR2_DEBIAN_MIRROR="http://ftp.debian.org" + +# +# Atmel Mirrors +# +BR2_ATMEL_MIRROR="ftp://www.at91.com/pub/buildroot/" +BR2_AT91_PATCH_MIRROR="http://maxim.org.za/AT91RM9200/2.6/" +BR2_STAGING_DIR="$(BUILD_DIR)/staging_dir" +# BR2_FPU_SUFFIX is not set +BR2_TOPDIR_PREFIX="" +BR2_TOPDIR_SUFFIX="" +BR2_GNU_BUILD_SUFFIX="pc-linux-gnu" +BR2_GNU_TARGET_SUFFIX="linux-uclibc" +BR2_JLEVEL=1 +# BR2_PREFER_IMA is not set +# BR2_DEPRECATED is not set +BR2_RECENT=y +# BR2_CONFIG_CACHE is not set +# BR2_ENABLE_DEBUG is not set +BR2_STRIP_strip=y +# BR2_STRIP_sstrip is not set +# BR2_STRIP_none is not set +# BR2_OPTIMIZE_0 is not set +# BR2_OPTIMIZE_1 is not set +# BR2_OPTIMIZE_2 is not set +# BR2_OPTIMIZE_3 is not set +BR2_OPTIMIZE_S=y +# BR2_PREFER_STATIC_LIB is not set +# BR2_HAVE_MANPAGES is not set +# BR2_HAVE_INFOPAGES is not set +# BR2_HAVE_DOCUMENTATION is not set +# BR2_HAVE_DEVFILES is not set +BR2_UPDATE_CONFIG=y + +# +# Toolchain +# +BR2_TOOLCHAIN_BUILDROOT=y +# BR2_TOOLCHAIN_EXTERNAL is not set +# BR2_TOOLCHAIN_EXTERNAL_SOURCE is not set +BR2_TOOLCHAIN_SOURCE=y +BR2_EXT_GCC_VERSION_4_1_2=y +BR2_EXT_GCC_VERSION_4_2_1=y +BR2_EXT_GCC_VERSION_4_2_2=y +BR2_EXT_GCC_VERSION_4_2_3=y +BR2_EXT_BINUTILS_VERSION_2_17=y +BR2_EXT_BINUTILS_VERSION_2_18=y +BR2_EXT_UCLIBC_VERSION_0_9_28_3=y +BR2_EXT_UCLIBC_VERSION_0_9_29=y +BR2_EXT_UCLIBC_VERSION_0_9_30=y +BR2_EXT_UCLIBC_VERSION_0_9_30_1=y + +# +# Kernel Header Options +# +# BR2_KERNEL_HEADERS_2_6_26 is not set +# BR2_KERNEL_HEADERS_2_6_27 is not set +# BR2_KERNEL_HEADERS_2_6_28 is not set +# BR2_KERNEL_HEADERS_2_6_29 is not set +BR2_KERNEL_HEADERS_2_6_30=y +# BR2_KERNEL_HEADERS_SNAP is not set +BR2_DEFAULT_KERNEL_HEADERS="2.6.30.5" + +# +# uClibc Options +# +# BR2_UCLIBC_VERSION_0_9_28_3 is not set +# BR2_UCLIBC_VERSION_0_9_29 is not set +# BR2_UCLIBC_VERSION_0_9_30 is not set +BR2_UCLIBC_VERSION_0_9_30_1=y +# BR2_UCLIBC_VERSION_SNAPSHOT is not set +BR2_UCLIBC_VERSION_STRING="0.9.30.1" +BR2_UCLIBC_CONFIG="toolchain/uClibc/uClibc-0.9.30.config" +# BR2_PTHREAD_DEBUG is not set +# BR2_UCLIBC_INSTALL_TEST_SUITE is not set + +# +# Binutils Options +# +# BR2_BINUTILS_VERSION_2_17 is not set +# BR2_BINUTILS_VERSION_2_17_50_0_17 is not set +# BR2_BINUTILS_VERSION_2_18 is not set +# BR2_BINUTILS_VERSION_2_18_50_0_1 is not set +# BR2_BINUTILS_VERSION_2_18_50_0_3 is not set +# BR2_BINUTILS_VERSION_2_18_50_0_6 is not set +# BR2_BINUTILS_VERSION_2_18_50_0_8 is not set +# BR2_BINUTILS_VERSION_2_18_50_0_9 is not set +# BR2_BINUTILS_VERSION_2_19 is not set +BR2_BINUTILS_VERSION_2_19_1=y +BR2_BINUTILS_VERSION="2.19.1" +BR2_EXTRA_BINUTILS_CONFIG_OPTIONS="" + +# +# GCC Options +# +# BR2_GCC_VERSION_3_4_6 is not set +# BR2_GCC_VERSION_4_0_4 is not set +# BR2_GCC_VERSION_4_1_2 is not set +# BR2_GCC_VERSION_4_2_1 is not set +# BR2_GCC_VERSION_4_2_2 is not set +# BR2_GCC_VERSION_4_2_3 is not set +# BR2_GCC_VERSION_4_2_4 is not set +# BR2_GCC_VERSION_4_3_2 is not set +BR2_GCC_VERSION_4_3_3=y +# BR2_GCC_VERSION_4_3_4 is not set +# BR2_GCC_VERSION_4_4_X is not set +BR2_GCC_SUPPORTS_SYSROOT=y +BR2_GCC_SUPPORTS_FINEGRAINEDMTUNE=y +BR2_GCC_VERSION="4.3.3" +BR2_TOOLCHAIN_SYSROOT=y +# BR2_GCC_USE_SJLJ_EXCEPTIONS is not set +BR2_EXTRA_GCC_CONFIG_OPTIONS="" +# BR2_GCC_CROSS_FORTRAN is not set +# BR2_INSTALL_LIBGCJ is not set +# BR2_INSTALL_OBJC is not set +# BR2_INSTALL_FORTRAN is not set +BR2_GCC_SHARED_LIBGCC=y + +# +# Ccache Options +# +# BR2_CCACHE is not set + +# +# Gdb Options +# +# BR2_PACKAGE_GDB is not set +# BR2_PACKAGE_GDB_SERVER is not set +# BR2_PACKAGE_GDB_HOST is not set + +# +# Common Toolchain Options +# +# BR2_LARGEFILE is not set +# BR2_INET_IPV6 is not set +# BR2_INET_RPC is not set +# BR2_ENABLE_LOCALE is not set +# BR2_ENABLE_LOCALE_PURGE is not set +BR2_USE_WCHAR=y +# BR2_SOFT_FLOAT is not set +# BR2_USE_SSP is not set +# BR2_PTHREADS_NONE is not set +# BR2_PTHREADS is not set +BR2_PTHREADS_OLD=y +# BR2_PTHREADS_NATIVE is not set +# BR2_PROGRAM_INVOCATION is not set +BR2_GCC_CROSS_CXX=y +BR2_INSTALL_LIBSTDCPP=y +BR2_TARGET_OPTIMIZATION="-O2 -pipe" +# BR2_ELF2FLT is not set +# BR2_MKLIBS is not set +# BR2_PACKAGE_SSTRIP_TARGET is not set +# BR2_PACKAGE_SSTRIP_HOST is not set +# BR2_ENABLE_MULTILIB is not set +# BR2_VFP_FLOAT is not set +BR2_CROSS_TOOLCHAIN_TARGET_UTILS=y + +# +# Package Selection for the target +# +BR2_PACKAGE_BUSYBOX=y +# BR2_BUSYBOX_VERSION_1_12_X is not set +# BR2_BUSYBOX_VERSION_1_13_X is not set +BR2_BUSYBOX_VERSION_1_14_X=y +# BR2_PACKAGE_BUSYBOX_SNAPSHOT is not set +BR2_BUSYBOX_VERSION="1.14.3" +BR2_PACKAGE_BUSYBOX_FULLINSTALL=y +BR2_PACKAGE_BUSYBOX_CONFIG="package/busybox/busybox-1.13.x.config" +BR2_PACKAGE_BUSYBOX_HIDE_OTHERS=y +# BR2_PACKAGE_BUSYBOX_SKELETON is not set + +# +# The minimum needed to build a uClibc development system +# +# BR2_PACKAGE_FLEX is not set +# BR2_PACKAGE_GCC_TARGET is not set +# BR2_PACKAGE_MAKE is not set + +# +# Other development stuff +# +# BR2_PACKAGE_AUTOCONF is not set +# BR2_PACKAGE_AUTOMAKE is not set +# BR2_PACKAGE_BISON is not set +# BR2_PACKAGE_CCACHE_TARGET is not set +# BR2_PACKAGE_CVS is not set +# BR2_PACKAGE_DISTCC is not set +# BR2_PACKAGE_DMALLOC is not set +# BR2_PACKAGE_FAKEROOT is not set +BR2_HOST_FAKEROOT=y +# BR2_PACKAGE_GETTEXT is not set +# BR2_PACKAGE_LIBINTL is not set +# BR2_PACKAGE_LIBGMP is not set +# BR2_PACKAGE_GPERF is not set +# BR2_PACKAGE_LIBMPFR is not set +# BR2_PACKAGE_LIBTOOL is not set +# BR2_PACKAGE_M4 is not set +# BR2_PACKAGE_OPROFILE is not set +# BR2_PACKAGE_PKG_CONFIG is not set +BR2_PACKAGE_READLINE=y +# BR2_PACKAGE_PCRE is not set + +# +# Other stuff +# +# BR2_PACKAGE_AT is not set +# BR2_PACKAGE_BEECRYPT is not set +# BR2_PACKAGE_BERKELEYDB is not set +# BR2_PACKAGE_BSDIFF is not set +# BR2_PACKAGE_CUPS is not set +# BR2_PACKAGE_CUSTOMIZE is not set +# BR2_PACKAGE_ENCHANT is not set +# BR2_PACKAGE_FILE is not set +# BR2_PACKAGE_GAMIN is not set +# BR2_PACKAGE_ICU is not set +# BR2_PACKAGE_KEXEC is not set +# BR2_PACKAGE_LIBCONFIG is not set +# BR2_PACKAGE_LIBCONFUSE is not set +# BR2_PACKAGE_LIBDAEMON is not set +# BR2_PACKAGE_LIBELF is not set +# BR2_PACKAGE_LIBEVENT is not set +# BR2_PACKAGE_LIBGCRYPT is not set +# BR2_PACKAGE_LIBGPG_ERROR is not set +BR2_PACKAGE_LIBICONV=y +# BR2_PACKAGE_LIBIDN is not set +# BR2_PACKAGE_LIBLOCKFILE is not set +# BR2_PACKAGE_LIBOIL is not set +# BR2_PACKAGE_LIBSYSFS is not set +# BR2_PACKAGE_LOCKFILE_PROGS is not set +# BR2_PACKAGE_LOGROTATE is not set +# BR2_PACKAGE_LSOF is not set +# BR2_PACKAGE_LTP-TESTSUITE is not set +# BR2_PACKAGE_LTRACE is not set +# BR2_PACKAGE_MEMSTAT is not set +# BR2_PACKAGE_NG_SPICE_REWORK is not set +# BR2_PACKAGE_POPT is not set +# BR2_PACKAGE_SCREEN is not set +# BR2_PACKAGE_SHARED_MIME_INFO is not set +# BR2_PACKAGE_STARTUP_NOTIFICATION is not set +# BR2_PACKAGE_STRACE is not set +# BR2_PACKAGE_SUDO is not set + +# +# Database +# +# BR2_PACKAGE_MYSQL_CLIENT is not set +# BR2_PACKAGE_SQLITE is not set + +# +# Networking +# + +# +# Networking applications +# +# BR2_PACKAGE_ARGUS is not set +# BR2_PACKAGE_AVAHI is not set +# BR2_PACKAGE_AXEL is not set + +# +# bind requires a toolchain with LARGEFILE support +# +# BR2_PACKAGE_BMON is not set +# BR2_PACKAGE_BRIDGE is not set +# BR2_PACKAGE_CTORRENT is not set +# BR2_PACKAGE_DNSMASQ is not set +BR2_PACKAGE_DROPBEAR=y +# BR2_PACKAGE_ETHTOOL is not set +# BR2_PACKAGE_HASERL is not set +# BR2_PACKAGE_IFPLUGD is not set +# BR2_PACKAGE_IPERF is not set + +# +# iproute2 requires a toolchain with IPv6 support +# +# BR2_PACKAGE_IPSEC_TOOLS is not set + +# +# iptables requires a toolchain with LARGEFILE support +# +# BR2_PACKAGE_IW is not set +# BR2_PACKAGE_KISMET is not set +# BR2_PACKAGE_L2TP is not set +# BR2_PACKAGE_LIBCGI is not set +# BR2_PACKAGE_LIBCGICC is not set +# BR2_PACKAGE_LIBCURL is not set +# BR2_PACKAGE_LIBDNET is not set +# BR2_PACKAGE_LIBEXOSIP2 is not set +# BR2_PACKAGE_LIBNL is not set +# BR2_PACKAGE_LIBOSIP2 is not set +# BR2_PACKAGE_LIBPCAP is not set +# BR2_PACKAGE_LIBSOUP is not set +# BR2_PACKAGE_LIBUPNP is not set +# BR2_PACKAGE_LINKS is not set +BR2_PACKAGE_LRZSZ=y +# BR2_PACKAGE_MDNSRESPONDER is not set +# BR2_PACKAGE_MIIDIAG is not set +# BR2_PACKAGE_MROUTED is not set +# BR2_PACKAGE_MUTT is not set +# BR2_PACKAGE_NBD is not set +# BR2_PACKAGE_NCFTP is not set +# BR2_PACKAGE_NEON is not set + +# +# netkitbase requires a toolchain with RPC support +# +# BR2_PACKAGE_NETKITTELNET is not set +# BR2_PACKAGE_NETPLUG is not set +# BR2_PACKAGE_NETSNMP is not set +# BR2_PACKAGE_NETSTAT_NAT is not set + +# +# nfs-utils requires a toolchain with 'Enable RPC' selected +# +# BR2_PACKAGE_NTP is not set +# BR2_PACKAGE_OLSR is not set +# BR2_PACKAGE_OPENNTPD is not set +# BR2_PACKAGE_OPENSSH is not set +# BR2_PACKAGE_OPENSSL is not set +# BR2_PACKAGE_OPENVPN is not set + +# +# portmap requires a toolchain with 'Enable RPC' selected +# +# BR2_PACKAGE_PPPD is not set +# BR2_PACKAGE_RP_PPPOE is not set +# BR2_PACKAGE_PPTP_LINUX is not set +# BR2_PACKAGE_PROFTPD is not set + +# +# quagga suite +# +# BR2_PACKAGE_QUAGGA_ZEBRA is not set +# BR2_PACKAGE_QUAGGA_BGPD is not set +# BR2_PACKAGE_QUAGGA_RIPD is not set +# BR2_PACKAGE_QUAGGA_RIPNGD is not set +# BR2_PACKAGE_QUAGGA_OSPFD is not set +# BR2_PACKAGE_QUAGGA_WATCHQUAGGA is not set +# BR2_PACKAGE_QUAGGA_ISISD is not set +# BR2_PACKAGE_RSYNC is not set +# BR2_PACKAGE_SAMBA is not set +# BR2_PACKAGE_SOCAT is not set +# BR2_PACKAGE_SPAWN_FCGI is not set +# BR2_PACKAGE_STUNNEL is not set +# BR2_PACKAGE_TCPDUMP is not set +# BR2_PACKAGE_DHCPDUMP is not set +# BR2_PACKAGE_TFTPD is not set +# BR2_PACKAGE_TN5250 is not set +# BR2_PACKAGE_TTCP is not set +# BR2_PACKAGE_UDPCAST is not set +# BR2_PACKAGE_VPNC is not set +# BR2_PACKAGE_VSFTPD is not set +# BR2_PACKAGE_VTUN is not set +# BR2_PACKAGE_WEBIF is not set +BR2_PACKAGE_WIRELESS_TOOLS=y +BR2_PACKAGE_WPA_SUPPLICANT=y +# BR2_PACKAGE_WPA_SUPPLICANT_EAP is not set +BR2_PACKAGE_WPA_SUPPLICANT_CLI=y +BR2_PACKAGE_WPA_SUPPLICANT_PASSPHRASE=y + +# +# Hardware handling / blockdevices and filesystem maintenance +# + +# +# dbus not available (need expat or libxml2) +# + +# +# dbus-glib needs dbus to be compiled with expat support +# +# BR2_PACKAGE_DEVMEM2 is not set + +# +# dmraid requires a toolchain with LARGEFILE support +# +# BR2_PACKAGE_DOSFSTOOLS is not set +# BR2_PACKAGE_LIBUUID is not set + +# +# e2fsprogs requires a toolchain with LARGEFILE support +# +# BR2_PACKAGE_EEPROG is not set +# BR2_PACKAGE_FCONFIG is not set +# BR2_PACKAGE_FIS is not set + +# +# libfuse requires a toolchain with LARGEFILE support +# +# BR2_PACKAGE_GADGETFS_TEST is not set +# BR2_PACKAGE_HAL is not set +# BR2_PACKAGE_HWDATA is not set +# BR2_PACKAGE_I2C_TOOLS is not set +# BR2_PACKAGE_INPUT_TOOLS is not set +# BR2_PACKAGE_IOSTAT is not set +# BR2_PACKAGE_LIBAIO is not set +# BR2_PACKAGE_LIBRAW1394 is not set +BR2_PACKAGE_LIBUSB=y +# BR2_PACKAGE_LM_SENSORS is not set + +# +# lvm2 requires a toolchain with LARGEFILE support +# +# BR2_PACKAGE_MDADM is not set +# BR2_PACKAGE_MEMTESTER is not set +# BR2_PACKAGE_MTD is not set + +# +# ntfs-3g requires a toolchain with LARGEFILE and WCHAR support +# +# BR2_PACKAGE_NTFSPROGS is not set +# BR2_PACKAGE_PCIUTILS is not set +# BR2_PACKAGE_SETSERIAL is not set +# BR2_PACKAGE_SMARTMONTOOLS is not set +# BR2_PACKAGE_USBMOUNT is not set +BR2_PACKAGE_USBUTILS=y +# BR2_PACKAGE_WIPE is not set +# BR2_PACKAGE_XFSPROGS is not set + +# +# Audio and video libraries and applications +# +# BR2_PACKAGE_ALSA_LIB is not set + +# +# alsa-utils requires a toolchain with LARGEFILE support +# + +# +# asterisk - disabled (required openssl and mpg123) +# +# BR2_PACKAGE_AUMIX is not set +# BR2_PACKAGE_FLAC is not set +# BR2_PACKAGE_GSTREAMER is not set +# BR2_PACKAGE_LIBID3TAG is not set +# BR2_PACKAGE_LIBMAD is not set +# BR2_PACKAGE_LIBMMS is not set +# BR2_PACKAGE_LIBMPD is not set +# BR2_PACKAGE_LIBOGG is not set +# BR2_PACKAGE_LIBSNDFILE is not set +# BR2_PACKAGE_LIBTHEORA is not set +# BR2_PACKAGE_LIBVORBIS is not set +# BR2_PACKAGE_MADPLAY is not set +# BR2_PACKAGE_MPG123 is not set +# BR2_PACKAGE_MPLAYER is not set +# BR2_PACKAGE_SPEEX is not set +# BR2_PACKAGE_FESTIVAL is not set +# BR2_PACKAGE_TAGLIB is not set +# BR2_PACKAGE_VLC is not set + +# +# Graphic libraries and applications (graphic/text) +# + +# +# text rendering libraries +# +BR2_PACKAGE_NCURSES=y +# BR2_PACKAGE_NCURSES_TARGET_PANEL is not set +# BR2_PACKAGE_NCURSES_TARGET_FORM is not set +# BR2_PACKAGE_NCURSES_TARGET_MENU is not set +# BR2_PACKAGE_NCURSES_TARGET_HEADERS is not set +# BR2_PACKAGE_NEWT is not set +# BR2_PACKAGE_SLANG is not set + +# +# text rendering applications +# +# BR2_PACKAGE_DIALOG is not set + +# +# graphic libraries +# +# BR2_PACKAGE_DIRECTFB is not set +# BR2_PACKAGE_FBDUMP is not set +# BR2_PACKAGE_IMAGEMAGICK is not set +BR2_PACKAGE_JPEG=y +# BR2_PACKAGE_LIBART is not set +# BR2_PACKAGE_LIBPNG is not set +# BR2_PACKAGE_LIBUNGIF is not set +# BR2_PACKAGE_LINUX_FUSION is not set +# BR2_PACKAGE_PIXMAN is not set +# BR2_PACKAGE_SDL is not set +# BR2_PACKAGE_TIFF is not set + +# +# busybox graphic applications +# + +# +# --> May be broken in busybox +# +# BR2_PACKAGE_FBV is not set +# BR2_PACKAGE_FBSET is not set + +# +# other GUIs +# +# BR2_PACKAGE_QT is not set +# BR2_PACKAGE_XORG7 is not set + +# +# X libraries and helper libraries +# +# BR2_PACKAGE_ATK is not set +# BR2_PACKAGE_CAIRO is not set +# BR2_PACKAGE_PANGO is not set +# BR2_PACKAGE_LIBDRM is not set +# BR2_PACKAGE_LIBERATION is not set +# BR2_PACKAGE_LIBGLIB12 is not set +# BR2_PACKAGE_LIBGLIB2 is not set +# BR2_PACKAGE_OPENMOTIF is not set +# BR2_PACKAGE_FONTCONFIG is not set +# BR2_PACKAGE_FREETYPE is not set +# BR2_PACKAGE_TSLIB is not set +# BR2_PACKAGE_WEBKIT is not set + +# +# X Window managers +# +# BR2_PACKAGE_MATCHBOX is not set + +# +# X applications +# +# BR2_PACKAGE_ALSAMIXERGUI is not set +# BR2_PACKAGE_GQVIEW is not set +# BR2_PACKAGE_GOB2 is not set +# BR2_PACKAGE_LEAFPAD is not set +# BR2_PACKAGE_PCMANFM is not set +# BR2_PACKAGE_SYLPHEED is not set +# BR2_PACKAGE_TORSMO is not set +# BR2_PACKAGE_X11VNC is not set +# BR2_PACKAGE_XPDF is not set +# BR2_PACKAGE_XSTROKE is not set + +# +# Compressors / decompressors +# +# BR2_PACKAGE_LZO is not set +# BR2_PACKAGE_LZOP is not set +# BR2_PACKAGE_LZMA_TARGET is not set +# BR2_PACKAGE_LZMA_HOST is not set +BR2_PACKAGE_ZLIB=y +# BR2_PACKAGE_ZLIB_TARGET_HEADERS is not set + +# +# Package managers +# +# BR2_PACKAGE_IPKG is not set +# BR2_PACKAGE_PORTAGE is not set + +# +# Interpreter languages / Scripting +# +# BR2_PACKAGE_LUA is not set +# BR2_PACKAGE_MICROPERL is not set +# BR2_PACKAGE_PYTHON is not set +# BR2_PACKAGE_RUBY is not set +# BR2_PACKAGE_TCL is not set +# BR2_PACKAGE_PHP is not set + +# +# XML handling +# +# BR2_PACKAGE_EXPAT is not set +# BR2_PACKAGE_EZXML is not set +# BR2_PACKAGE_LIBXML2 is not set +# BR2_PACKAGE_LIBXSLT is not set +# BR2_PACKAGE_XERCES is not set + +# +# Java +# +# BR2_PACKAGE_CLASSPATH is not set + +# +# Games +# +# BR2_PACKAGE_GNUCHESS is not set +# BR2_PACKAGE_MAGICCUBE4D is not set +# BR2_PACKAGE_PRBOOM is not set +# BR2_PACKAGE_RUBIX is not set +# BR2_PACKAGE_VICE is not set +# BR2_PACKAGE_XBOARD is not set + +# +# Target filesystem options +# +BR2_ROOTFS_PREFIX="rootfs" +BR2_ROOTFS_SUFFIX="" +BR2_ROOTFS_POST_BUILD_SCRIPT="" + +# +# filesystem for target device +# +# BR2_TARGET_ROOTFS_CRAMFS is not set +# BR2_TARGET_ROOTFS_CLOOP is not set +BR2_TARGET_ROOTFS_EXT2=y +BR2_TARGET_ROOTFS_EXT2_BLOCKS=0 +BR2_TARGET_ROOTFS_EXT2_INODES=0 +BR2_TARGET_ROOTFS_EXT2_RESBLKS=0 +BR2_TARGET_ROOTFS_EXT2_SQUASH=y +BR2_TARGET_ROOTFS_EXT2_OUTPUT="$(IMAGE).ext2" +BR2_TARGET_ROOTFS_EXT2_NONE=y +# BR2_TARGET_ROOTFS_EXT2_GZIP is not set +# BR2_TARGET_ROOTFS_EXT2_BZIP2 is not set +# BR2_TARGET_ROOTFS_EXT2_LZMA is not set +BR2_TARGET_ROOTFS_EXT2_COPYTO="" +# BR2_TARGET_ROOTFS_JFFS2 is not set +# BR2_TARGET_ROOTFS_SQUASHFS is not set +# BR2_TARGET_ROOTFS_TAR is not set +# BR2_TARGET_ROOTFS_CPIO is not set +BR2_TARGET_ROOTFS_INITRAMFS=y +# BR2_TARGET_ROOTFS_ROMFS is not set + +# +# bootloader for target device +# +# BR2_TARGET_UBOOT is not set +BR2_BOOTSOURCE_DATAFLASHCARD=y +BR2_BOOTSOURCE_DATAFLASH=y +BR2_BOOTSOURCE_NANDFLASH=y +BR2_BOOTSOURCE=y + +# +# Kernel +# +BR2_KERNEL_none=y +# BR2_KERNEL_LINUX_ADVANCED is not set +# BR2_KERNEL_LINUX is not set diff --git a/legacy/flash-programmer/bbfifo_16x8.vhd b/legacy/flash-programmer/bbfifo_16x8.vhd new file mode 100755 index 0000000..8ef61e6 --- /dev/null +++ b/legacy/flash-programmer/bbfifo_16x8.vhd @@ -0,0 +1,281 @@ +-- 'Bucket Brigade' FIFO +-- 16 deep +-- 8-bit data +-- +-- Version : 1.10 +-- Version Date : 3rd December 2003 +-- Reason : '--translate' directives changed to '--synthesis translate' directives +-- +-- Version : 1.00 +-- Version Date : 14th October 2002 +-- +-- Start of design entry : 14th October 2002 +-- +-- Ken Chapman +-- Xilinx Ltd +-- Benchmark House +-- 203 Brooklands Road +-- Weybridge +-- Surrey KT13 ORH +-- United Kingdom +-- +-- chapman@xilinx.com +-- +------------------------------------------------------------------------------------ +-- +-- NOTICE: +-- +-- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other +-- third parties. By providing this core as one possible implementation of a standard, +-- Xilinx is making no representation that the provided implementation of this standard +-- is free from any claims of infringement by any third party. Xilinx expressly +-- disclaims any warranty with respect to the adequacy of the implementation, including +-- but not limited to any warranty or representation that the implementation is free +-- from claims of any third party. Futhermore, Xilinx is providing this core as a +-- courtesy to you and suggests that you contact all third parties to obtain the +-- necessary rights to use this implementation. +-- +------------------------------------------------------------------------------------ +-- +-- Library declarations +-- +-- The Unisim Library is used to define Xilinx primitives. It is also used during +-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd +-- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +library unisim; +use unisim.vcomponents.all; +-- +------------------------------------------------------------------------------------ +-- +-- Main Entity for BBFIFO_16x8 +-- +entity bbfifo_16x8 is + Port ( data_in : in std_logic_vector(7 downto 0); + data_out : out std_logic_vector(7 downto 0); + reset : in std_logic; + write : in std_logic; + read : in std_logic; + full : out std_logic; + half_full : out std_logic; + data_present : out std_logic; + clk : in std_logic); + end bbfifo_16x8; +-- +------------------------------------------------------------------------------------ +-- +-- Start of Main Architecture for BBFIFO_16x8 +-- +architecture low_level_definition of bbfifo_16x8 is +-- +------------------------------------------------------------------------------------ +-- +------------------------------------------------------------------------------------ +-- +-- Signals used in BBFIFO_16x8 +-- +------------------------------------------------------------------------------------ +-- +signal pointer : std_logic_vector(3 downto 0); +signal next_count : std_logic_vector(3 downto 0); +signal half_count : std_logic_vector(3 downto 0); +signal count_carry : std_logic_vector(2 downto 0); + +signal pointer_zero : std_logic; +signal pointer_full : std_logic; +signal decode_data_present : std_logic; +signal data_present_int : std_logic; +signal valid_write : std_logic; +-- +-- +------------------------------------------------------------------------------------ +-- +-- Attributes to define LUT contents during implementation +-- The information is repeated in the generic map for functional simulation-- +-- +------------------------------------------------------------------------------------ +-- +attribute INIT : string; +attribute INIT of zero_lut : label is "0001"; +attribute INIT of full_lut : label is "8000"; +attribute INIT of dp_lut : label is "BFA0"; +attribute INIT of valid_lut : label is "C4"; +-- +------------------------------------------------------------------------------------ +-- +-- Start of BBFIFO_16x8 circuit description +-- +------------------------------------------------------------------------------------ +-- +begin + + -- SRL16E data storage + + data_width_loop: for i in 0 to 7 generate + -- + attribute INIT : string; + attribute INIT of data_srl : label is "0000"; + -- + begin + + data_srl: SRL16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => data_in(i), + CE => valid_write, + CLK => clk, + A0 => pointer(0), + A1 => pointer(1), + A2 => pointer(2), + A3 => pointer(3), + Q => data_out(i) ); + + end generate data_width_loop; + + -- 4-bit counter to act as data pointer + -- Counter is clock enabled by 'data_present' + -- Counter will be reset when 'reset' is active + -- Counter will increment when 'valid_write' is active + + count_width_loop: for i in 0 to 3 generate + -- + attribute INIT : string; + attribute INIT of count_lut : label is "6606"; + -- + begin + + register_bit: FDRE + port map ( D => next_count(i), + Q => pointer(i), + CE => data_present_int, + R => reset, + C => clk); + + count_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"6606") + --synthesis translate_on + port map( I0 => pointer(i), + I1 => read, + I2 => pointer_zero, + I3 => write, + O => half_count(i)); + + lsb_count: if i=0 generate + begin + + count_muxcy: MUXCY + port map( DI => pointer(i), + CI => valid_write, + S => half_count(i), + O => count_carry(i)); + + count_xor: XORCY + port map( LI => half_count(i), + CI => valid_write, + O => next_count(i)); + + end generate lsb_count; + + mid_count: if i>0 and i<3 generate + begin + + count_muxcy: MUXCY + port map( DI => pointer(i), + CI => count_carry(i-1), + S => half_count(i), + O => count_carry(i)); + + count_xor: XORCY + port map( LI => half_count(i), + CI => count_carry(i-1), + O => next_count(i)); + + end generate mid_count; + + upper_count: if i=3 generate + begin + + count_xor: XORCY + port map( LI => half_count(i), + CI => count_carry(i-1), + O => next_count(i)); + + end generate upper_count; + + end generate count_width_loop; + + + -- Detect when pointer is zero and maximum + + zero_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0001") + --synthesis translate_on + port map( I0 => pointer(0), + I1 => pointer(1), + I2 => pointer(2), + I3 => pointer(3), + O => pointer_zero ); + + + full_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"8000") + --synthesis translate_on + port map( I0 => pointer(0), + I1 => pointer(1), + I2 => pointer(2), + I3 => pointer(3), + O => pointer_full ); + + + -- Data Present status + + dp_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"BFA0") + --synthesis translate_on + port map( I0 => write, + I1 => read, + I2 => pointer_zero, + I3 => data_present_int, + O => decode_data_present ); + + dp_flop: FDR + port map ( D => decode_data_present, + Q => data_present_int, + R => reset, + C => clk); + + -- Valid write signal + + valid_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"C4") + --synthesis translate_on + port map( I0 => pointer_full, + I1 => write, + I2 => read, + O => valid_write ); + + + -- assign internal signals to outputs + + full <= pointer_full; + half_full <= pointer(3); + data_present <= data_present_int; + +end low_level_definition; + +------------------------------------------------------------------------------------ +-- +-- END OF FILE BBFIFO_16x8.VHD +-- +------------------------------------------------------------------------------------ + + diff --git a/legacy/flash-programmer/kcpsm3.vhd b/legacy/flash-programmer/kcpsm3.vhd new file mode 100755 index 0000000..e1e5804 --- /dev/null +++ b/legacy/flash-programmer/kcpsm3.vhd @@ -0,0 +1,1901 @@ +-- PicoBlaze +-- +-- Constant (K) Coded Programmable State Machine for Spartan-3 Devices. +-- Also suitable for use with Virtex-II(PRO) and Virtex-4 devices. +-- +-- Includes additional code for enhanced VHDL simulation. +-- +-- Version : 1.30 +-- Version Date : 14th June 2004 +-- Reasons : Avoid issue caused when ENABLE INTERRUPT is used when interrupts are +-- already enabled when an an interrupt input is applied. +-- Improved design for faster ZERO and CARRY flag logic +-- +-- +-- Previous Version : 1.20 +-- Version Date : 9th July 2003 +-- +-- Start of design entry : 19th May 2003 +-- +-- Ken Chapman +-- Xilinx Ltd +-- Benchmark House +-- 203 Brooklands Road +-- Weybridge +-- Surrey KT13 ORH +-- United Kingdom +-- +-- chapman@xilinx.com +-- +-- Instruction disassembly concept inspired by the work of Prof. Dr.-Ing. Bernhard Lang. +-- University of Applied Sciences, Osnabrueck, Germany. +-- +------------------------------------------------------------------------------------ +-- +-- NOTICE: +-- +-- Copyright Xilinx, Inc. 2003. This code may be contain portions patented by other +-- third parties. By providing this core as one possible implementation of a standard, +-- Xilinx is making no representation that the provided implementation of this standard +-- is free from any claims of infringement by any third party. Xilinx expressly +-- disclaims any warranty with respect to the adequacy of the implementation, including +-- but not limited to any warranty or representation that the implementation is free +-- from claims of any third party. Furthermore, Xilinx is providing this core as a +-- courtesy to you and suggests that you contact all third parties to obtain the +-- necessary rights to use this implementation. +-- +------------------------------------------------------------------------------------ +-- +-- Format of this file. +-- +-- This file contains the definition of KCPSM3 as one complete module with sections +-- created using generate loops. This 'flat' approach has been adopted to decrease +-- the time taken to load the module into simulators and the synthesis process. +-- +-- The module defines the implementation of the logic using Xilinx primitives. +-- These ensure predictable synthesis results and maximise the density of the implementation. +-- The Unisim Library is used to define Xilinx primitives. It is also used during +-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd +-- +------------------------------------------------------------------------------------ +-- +-- Library declarations +-- +-- Standard IEEE libraries +-- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +library unisim; +use unisim.vcomponents.all; +-- +------------------------------------------------------------------------------------ +-- +-- Main Entity for KCPSM3 +-- +entity kcpsm3 is + Port ( address : out std_logic_vector(9 downto 0); + instruction : in std_logic_vector(17 downto 0); + port_id : out std_logic_vector(7 downto 0); + write_strobe : out std_logic; + out_port : out std_logic_vector(7 downto 0); + read_strobe : out std_logic; + in_port : in std_logic_vector(7 downto 0); + interrupt : in std_logic; + interrupt_ack : out std_logic; + reset : in std_logic; + clk : in std_logic); + end kcpsm3; +-- +------------------------------------------------------------------------------------ +-- +-- Start of Main Architecture for KCPSM3 +-- +architecture low_level_definition of kcpsm3 is +-- +------------------------------------------------------------------------------------ +-- +-- Signals used in KCPSM3 +-- +------------------------------------------------------------------------------------ +-- +-- Fundamental control and decode signals +-- +signal t_state : std_logic; +signal not_t_state : std_logic; +signal internal_reset : std_logic; +signal reset_delay : std_logic; +signal move_group : std_logic; +signal condition_met : std_logic; +signal normal_count : std_logic; +signal call_type : std_logic; +signal push_or_pop_type : std_logic; +signal valid_to_move : std_logic; +-- +-- Flag signals +-- +signal flag_type : std_logic; +signal flag_write : std_logic; +signal flag_enable : std_logic; +signal zero_flag : std_logic; +signal sel_shadow_zero : std_logic; +signal low_zero : std_logic; +signal high_zero : std_logic; +signal low_zero_carry : std_logic; +signal high_zero_carry : std_logic; +signal zero_carry : std_logic; +signal zero_fast_route : std_logic; +signal low_parity : std_logic; +signal high_parity : std_logic; +signal parity_carry : std_logic; +signal parity : std_logic; +signal carry_flag : std_logic; +signal sel_parity : std_logic; +signal sel_arith_carry : std_logic; +signal sel_shift_carry : std_logic; +signal sel_shadow_carry : std_logic; +signal sel_carry : std_logic_vector(3 downto 0); +signal carry_fast_route : std_logic; +-- +-- Interrupt signals +-- +signal active_interrupt : std_logic; +signal int_pulse : std_logic; +signal clean_int : std_logic; +signal shadow_carry : std_logic; +signal shadow_zero : std_logic; +signal int_enable : std_logic; +signal int_update_enable : std_logic; +signal int_enable_value : std_logic; +signal interrupt_ack_internal : std_logic; +-- +-- Program Counter signals +-- +signal pc : std_logic_vector(9 downto 0); +signal pc_vector : std_logic_vector(9 downto 0); +signal pc_vector_carry : std_logic_vector(8 downto 0); +signal inc_pc_vector : std_logic_vector(9 downto 0); +signal pc_value : std_logic_vector(9 downto 0); +signal pc_value_carry : std_logic_vector(8 downto 0); +signal inc_pc_value : std_logic_vector(9 downto 0); +signal pc_enable : std_logic; +-- +-- Data Register signals +-- +signal sx : std_logic_vector(7 downto 0); +signal sy : std_logic_vector(7 downto 0); +signal register_type : std_logic; +signal register_write : std_logic; +signal register_enable : std_logic; +signal second_operand : std_logic_vector(7 downto 0); +-- +-- Scratch Pad Memory signals +-- +signal memory_data : std_logic_vector(7 downto 0); +signal store_data : std_logic_vector(7 downto 0); +signal memory_type : std_logic; +signal memory_write : std_logic; +signal memory_enable : std_logic; +-- +-- Stack signals +-- +signal stack_pop_data : std_logic_vector(9 downto 0); +signal stack_ram_data : std_logic_vector(9 downto 0); +signal stack_address : std_logic_vector(4 downto 0); +signal half_stack_address : std_logic_vector(4 downto 0); +signal stack_address_carry : std_logic_vector(3 downto 0); +signal next_stack_address : std_logic_vector(4 downto 0); +signal stack_write_enable : std_logic; +signal not_active_interrupt : std_logic; +-- +-- ALU signals +-- +signal logical_result : std_logic_vector(7 downto 0); +signal logical_value : std_logic_vector(7 downto 0); +signal sel_logical : std_logic; +signal shift_result : std_logic_vector(7 downto 0); +signal shift_value : std_logic_vector(7 downto 0); +signal sel_shift : std_logic; +signal high_shift_in : std_logic; +signal low_shift_in : std_logic; +signal shift_in : std_logic; +signal shift_carry : std_logic; +signal shift_carry_value : std_logic; +signal arith_result : std_logic_vector(7 downto 0); +signal arith_value : std_logic_vector(7 downto 0); +signal half_arith : std_logic_vector(7 downto 0); +signal arith_internal_carry : std_logic_vector(7 downto 0); +signal sel_arith_carry_in : std_logic; +signal arith_carry_in : std_logic; +signal invert_arith_carry : std_logic; +signal arith_carry_out : std_logic; +signal sel_arith : std_logic; +signal arith_carry : std_logic; +-- +-- ALU multiplexer signals +-- +signal input_fetch_type : std_logic; +signal sel_group : std_logic; +signal alu_group : std_logic_vector(7 downto 0); +signal input_group : std_logic_vector(7 downto 0); +signal alu_result : std_logic_vector(7 downto 0); +-- +-- read and write strobes +-- +signal io_initial_decode : std_logic; +signal write_active : std_logic; +signal read_active : std_logic; +-- +-- +------------------------------------------------------------------------------------ +-- +-- Attributes to define LUT contents during implementation for primitives not +-- contained within generate loops. In each case the information is repeated +-- in the generic map for functional simulation +-- +attribute INIT : string; +attribute INIT of t_state_lut : label is "1"; +attribute INIT of int_pulse_lut : label is "0080"; +attribute INIT of int_update_lut : label is "EAAA"; +attribute INIT of int_value_lut : label is "04"; +attribute INIT of move_group_lut : label is "7400"; +attribute INIT of condition_met_lut : label is "5A3C"; +attribute INIT of normal_count_lut : label is "2F"; +attribute INIT of call_type_lut : label is "1000"; +attribute INIT of push_pop_lut : label is "5400"; +attribute INIT of valid_move_lut : label is "D"; +attribute INIT of flag_type_lut : label is "41FC"; +attribute INIT of flag_enable_lut : label is "8"; +attribute INIT of low_zero_lut : label is "0001"; +attribute INIT of high_zero_lut : label is "0001"; +attribute INIT of sel_shadow_zero_lut : label is "3F"; +attribute INIT of low_parity_lut : label is "6996"; +attribute INIT of high_parity_lut : label is "6996"; +attribute INIT of sel_parity_lut : label is "F3FF"; +attribute INIT of sel_arith_carry_lut : label is "F3"; +attribute INIT of sel_shift_carry_lut : label is "C"; +attribute INIT of sel_shadow_carry_lut : label is "3"; +attribute INIT of register_type_lut : label is "0145"; +attribute INIT of register_enable_lut : label is "8"; +attribute INIT of memory_type_lut : label is "0400"; +attribute INIT of memory_enable_lut : label is "8000"; +attribute INIT of sel_logical_lut : label is "FFE2"; +attribute INIT of low_shift_in_lut : label is "E4"; +attribute INIT of high_shift_in_lut : label is "E4"; +attribute INIT of shift_carry_lut : label is "E4"; +attribute INIT of sel_arith_lut : label is "1F"; +attribute INIT of input_fetch_type_lut : label is "0002"; +attribute INIT of io_decode_lut : label is "0010"; +attribute INIT of write_active_lut : label is "4000"; +attribute INIT of read_active_lut : label is "0100"; +-- +------------------------------------------------------------------------------------ +-- +-- Start of KCPSM3 circuit description +-- +------------------------------------------------------------------------------------ +-- +begin +-- +------------------------------------------------------------------------------------ +-- +-- Fundamental Control +-- +-- Definition of T-state and internal reset +-- +------------------------------------------------------------------------------------ +-- + t_state_lut: LUT1 + --synthesis translate_off + generic map (INIT => X"1") + --synthesis translate_on + port map( I0 => t_state, + O => not_t_state ); + + toggle_flop: FDR + port map ( D => not_t_state, + Q => t_state, + R => internal_reset, + C => clk); + + reset_flop1: FDS + port map ( D => '0', + Q => reset_delay, + S => reset, + C => clk); + + reset_flop2: FDS + port map ( D => reset_delay, + Q => internal_reset, + S => reset, + C => clk); +-- +------------------------------------------------------------------------------------ +-- +-- Interrupt input logic, Interrupt enable and shadow Flags. +-- +-- Captures interrupt input and enables the shadow flags. +-- Decodes instructions which set and reset the interrupt enable flip-flop. +-- +------------------------------------------------------------------------------------ +-- + + -- Interrupt capture + + int_capture_flop: FDR + port map ( D => interrupt, + Q => clean_int, + R => internal_reset, + C => clk); + + int_pulse_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0080") + --synthesis translate_on + port map( I0 => t_state, + I1 => clean_int, + I2 => int_enable, + I3 => active_interrupt, + O => int_pulse ); + + int_flop: FDR + port map ( D => int_pulse, + Q => active_interrupt, + R => internal_reset, + C => clk); + + ack_flop: FD + port map ( D => active_interrupt, + Q => interrupt_ack_internal, + C => clk); + + interrupt_ack <= interrupt_ack_internal; + + -- Shadow flags + + shadow_carry_flop: FDE + port map ( D => carry_flag, + Q => shadow_carry, + CE => active_interrupt, + C => clk); + + shadow_zero_flop: FDE + port map ( D => zero_flag, + Q => shadow_zero, + CE => active_interrupt, + C => clk); + + -- Decode instructions that set or reset interrupt enable + + int_update_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"EAAA") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => int_update_enable ); + + int_value_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"04") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(0), + I2 => interrupt_ack_internal, + O => int_enable_value ); + + int_enable_flop: FDRE + port map ( D => int_enable_value, + Q => int_enable, + CE => int_update_enable, + R => internal_reset, + C => clk); +-- +------------------------------------------------------------------------------------ +-- +-- Decodes for the control of the program counter and CALL/RETURN stack +-- +------------------------------------------------------------------------------------ +-- + move_group_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"7400") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => move_group ); + + condition_met_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"5A3C") + --synthesis translate_on + port map( I0 => carry_flag, + I1 => zero_flag, + I2 => instruction(10), + I3 => instruction(11), + O => condition_met ); + + normal_count_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"2F") + --synthesis translate_on + port map( I0 => instruction(12), + I1 => condition_met, + I2 => move_group, + O => normal_count ); + + call_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"1000") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => call_type ); + + push_pop_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"5400") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => push_or_pop_type ); + + valid_move_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"D") + --synthesis translate_on + port map( I0 => instruction(12), + I1 => condition_met, + O => valid_to_move ); +-- +------------------------------------------------------------------------------------ +-- +-- The ZERO and CARRY Flags +-- +------------------------------------------------------------------------------------ +-- + -- Enable for flags + + flag_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"41FC") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => flag_type ); + + flag_write_flop: FD + port map ( D => flag_type, + Q => flag_write, + C => clk); + + flag_enable_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"8") + --synthesis translate_on + port map( I0 => t_state, + I1 => flag_write, + O => flag_enable ); + + -- Zero Flag + + low_zero_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0001") + --synthesis translate_on + port map( I0 => alu_result(0), + I1 => alu_result(1), + I2 => alu_result(2), + I3 => alu_result(3), + O => low_zero ); + + high_zero_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0001") + --synthesis translate_on + port map( I0 => alu_result(4), + I1 => alu_result(5), + I2 => alu_result(6), + I3 => alu_result(7), + O => high_zero ); + + low_zero_muxcy: MUXCY + port map( DI => '0', + CI => '1', + S => low_zero, + O => low_zero_carry ); + + high_zero_cymux: MUXCY + port map( DI => '0', + CI => low_zero_carry, + S => high_zero, + O => high_zero_carry ); + + sel_shadow_zero_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"3F") + --synthesis translate_on + port map( I0 => shadow_zero, + I1 => instruction(16), + I2 => instruction(17), + O => sel_shadow_zero ); + + zero_cymux: MUXCY + port map( DI => shadow_zero, + CI => high_zero_carry, + S => sel_shadow_zero, + O => zero_carry ); + + zero_xor: XORCY + port map( LI => '0', + CI => zero_carry, + O => zero_fast_route); + + zero_flag_flop: FDRE + port map ( D => zero_fast_route, + Q => zero_flag, + CE => flag_enable, + R => internal_reset, + C => clk); + + -- Parity detection + + low_parity_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"6996") + --synthesis translate_on + port map( I0 => logical_result(0), + I1 => logical_result(1), + I2 => logical_result(2), + I3 => logical_result(3), + O => low_parity ); + + high_parity_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"6996") + --synthesis translate_on + port map( I0 => logical_result(4), + I1 => logical_result(5), + I2 => logical_result(6), + I3 => logical_result(7), + O => high_parity ); + + parity_muxcy: MUXCY + port map( DI => '0', + CI => '1', + S => low_parity, + O => parity_carry ); + + parity_xor: XORCY + port map( LI => high_parity, + CI => parity_carry, + O => parity); + + -- CARRY flag selection + + sel_parity_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"F3FF") + --synthesis translate_on + port map( I0 => parity, + I1 => instruction(13), + I2 => instruction(15), + I3 => instruction(16), + O => sel_parity ); + + sel_arith_carry_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"F3") + --synthesis translate_on + port map( I0 => arith_carry, + I1 => instruction(16), + I2 => instruction(17), + O => sel_arith_carry ); + + sel_shift_carry_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"C") + --synthesis translate_on + port map( I0 => shift_carry, + I1 => instruction(15), + O => sel_shift_carry ); + + sel_shadow_carry_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"3") + --synthesis translate_on + port map( I0 => shadow_carry, + I1 => instruction(17), + O => sel_shadow_carry ); + + sel_shadow_muxcy: MUXCY + port map( DI => shadow_carry, + CI => '0', + S => sel_shadow_carry, + O => sel_carry(0) ); + + sel_shift_muxcy: MUXCY + port map( DI => shift_carry, + CI => sel_carry(0), + S => sel_shift_carry, + O => sel_carry(1) ); + + sel_arith_muxcy: MUXCY + port map( DI => arith_carry, + CI => sel_carry(1), + S => sel_arith_carry, + O => sel_carry(2) ); + + sel_parity_muxcy: MUXCY + port map( DI => parity, + CI => sel_carry(2), + S => sel_parity, + O => sel_carry(3) ); + + carry_xor: XORCY + port map( LI => '0', + CI => sel_carry(3), + O => carry_fast_route); + + carry_flag_flop: FDRE + port map ( D => carry_fast_route, + Q => carry_flag, + CE => flag_enable, + R => internal_reset, + C => clk); +-- +------------------------------------------------------------------------------------ +-- +-- The Program Counter +-- +-- Definition of a 10-bit counter which can be loaded from two sources +-- +------------------------------------------------------------------------------------ +-- + + invert_enable: INV -- Inverter should be implemented in the CE to flip flops + port map( I => t_state, + O => pc_enable); + + pc_loop: for i in 0 to 9 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of vector_select_mux : label is "E4"; + attribute INIT of value_select_mux : label is "E4"; + -- + begin + + vector_select_mux: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(15), + I1 => instruction(i), + I2 => stack_pop_data(i), + O => pc_vector(i) ); + + value_select_mux: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => normal_count, + I1 => inc_pc_vector(i), + I2 => pc(i), + O => pc_value(i) ); + + register_bit: FDRSE + port map ( D => inc_pc_value(i), + Q => pc(i), + R => internal_reset, + S => active_interrupt, + CE => pc_enable, + C => clk); + + pc_lsb_carry: if i=0 generate + begin + + pc_vector_muxcy: MUXCY + port map( DI => '0', + CI => instruction(13), + S => pc_vector(i), + O => pc_vector_carry(i)); + + pc_vector_xor: XORCY + port map( LI => pc_vector(i), + CI => instruction(13), + O => inc_pc_vector(i)); + + pc_value_muxcy: MUXCY + port map( DI => '0', + CI => normal_count, + S => pc_value(i), + O => pc_value_carry(i)); + + pc_value_xor: XORCY + port map( LI => pc_value(i), + CI => normal_count, + O => inc_pc_value(i)); + + end generate pc_lsb_carry; + + pc_mid_carry: if i>0 and i<9 generate + begin + + pc_vector_muxcy: MUXCY + port map( DI => '0', + CI => pc_vector_carry(i-1), + S => pc_vector(i), + O => pc_vector_carry(i)); + + pc_vector_xor: XORCY + port map( LI => pc_vector(i), + CI => pc_vector_carry(i-1), + O => inc_pc_vector(i)); + + pc_value_muxcy: MUXCY + port map( DI => '0', + CI => pc_value_carry(i-1), + S => pc_value(i), + O => pc_value_carry(i)); + + pc_value_xor: XORCY + port map( LI => pc_value(i), + CI => pc_value_carry(i-1), + O => inc_pc_value(i)); + + end generate pc_mid_carry; + + pc_msb_carry: if i=9 generate + begin + + pc_vector_xor: XORCY + port map( LI => pc_vector(i), + CI => pc_vector_carry(i-1), + O => inc_pc_vector(i)); + + pc_value_xor: XORCY + port map( LI => pc_value(i), + CI => pc_value_carry(i-1), + O => inc_pc_value(i)); + + end generate pc_msb_carry; + + end generate pc_loop; + + address <= pc; +-- +------------------------------------------------------------------------------------ +-- +-- Register Bank and second operand selection. +-- +-- Definition of an 8-bit dual port RAM with 16 locations +-- including write enable decode. +-- +-- Outputs are assigned to PORT_ID and OUT_PORT. +-- +------------------------------------------------------------------------------------ +-- + -- Forming decode signal + + register_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0145") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => register_type ); + + register_write_flop: FD + port map ( D => register_type, + Q => register_write, + C => clk); + + register_enable_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"8") + --synthesis translate_on + port map( I0 => t_state, + I1 => register_write, + O => register_enable ); + + reg_loop: for i in 0 to 7 generate + -- + -- Attribute to define RAM contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of register_bit : label is "0000"; + attribute INIT of operand_select_mux : label is "E4"; + -- + begin + + register_bit: RAM16X1D + --synthesis translate_off + generic map(INIT => X"0000") + --synthesis translate_on + port map ( D => alu_result(i), + WE => register_enable, + WCLK => clk, + A0 => instruction(8), + A1 => instruction(9), + A2 => instruction(10), + A3 => instruction(11), + DPRA0 => instruction(4), + DPRA1 => instruction(5), + DPRA2 => instruction(6), + DPRA3 => instruction(7), + SPO => sx(i), + DPO => sy(i)); + + operand_select_mux: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(12), + I1 => instruction(i), + I2 => sy(i), + O => second_operand(i) ); + + end generate reg_loop; + + out_port <= sx; + port_id <= second_operand; +-- +------------------------------------------------------------------------------------ +-- +-- Store Memory +-- +-- Definition of an 8-bit single port RAM with 64 locations +-- including write enable decode. +-- +------------------------------------------------------------------------------------ +-- + -- Forming decode signal + + memory_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0400") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => memory_type ); + + memory_write_flop: FD + port map ( D => memory_type, + Q => memory_write, + C => clk); + + memory_enable_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"8000") + --synthesis translate_on + port map( I0 => t_state, + I1 => instruction(13), + I2 => instruction(14), + I3 => memory_write, + O => memory_enable ); + + store_loop: for i in 0 to 7 generate + -- + -- Attribute to define RAM contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of memory_bit : label is "0000000000000000"; + -- + begin + + memory_bit: RAM64X1S + --synthesis translate_off + generic map(INIT => X"0000000000000000") + --synthesis translate_on + port map ( D => sx(i), + WE => memory_enable, + WCLK => clk, + A0 => second_operand(0), + A1 => second_operand(1), + A2 => second_operand(2), + A3 => second_operand(3), + A4 => second_operand(4), + A5 => second_operand(5), + O => memory_data(i)); + + store_flop: FD + port map ( D => memory_data(i), + Q => store_data(i), + C => clk); + + end generate store_loop; +-- +------------------------------------------------------------------------------------ +-- +-- Logical operations +-- +-- Definition of AND, OR, XOR and LOAD functions which also provides TEST. +-- Includes pipeline stage used to form ALU multiplexer including decode. +-- +------------------------------------------------------------------------------------ +-- + sel_logical_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"FFE2") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => sel_logical ); + + logical_loop: for i in 0 to 7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of logical_lut : label is "6E8A"; + -- + begin + + logical_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"6E8A") + --synthesis translate_on + port map( I0 => second_operand(i), + I1 => sx(i), + I2 => instruction(13), + I3 => instruction(14), + O => logical_value(i)); + + logical_flop: FDR + port map ( D => logical_value(i), + Q => logical_result(i), + R => sel_logical, + C => clk); + + end generate logical_loop; +-- +-- +------------------------------------------------------------------------------------ +-- +-- Shift and Rotate operations +-- +-- Includes pipeline stage used to form ALU multiplexer including decode. +-- +------------------------------------------------------------------------------------ +-- + sel_shift_inv: INV -- Inverter should be implemented in the reset to flip flops + port map( I => instruction(17), + O => sel_shift); + + -- Bit to input to shift register + + high_shift_in_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(1), + I1 => sx(0), + I2 => instruction(0), + O => high_shift_in ); + + low_shift_in_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(1), + I1 => carry_flag, + I2 => sx(7), + O => low_shift_in ); + + shift_in_muxf5: MUXF5 + port map( I1 => high_shift_in, + I0 => low_shift_in, + S => instruction(2), + O => shift_in ); + + -- Forming shift carry signal + + shift_carry_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(3), + I1 => sx(7), + I2 => sx(0), + O => shift_carry_value ); + + pipeline_bit: FD + port map ( D => shift_carry_value, + Q => shift_carry, + C => clk); + + shift_loop: for i in 0 to 7 generate + begin + + lsb_shift: if i=0 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of shift_mux_lut : label is "E4"; + -- + begin + + shift_mux_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(3), + I1 => shift_in, + I2 => sx(i+1), + O => shift_value(i) ); + + end generate lsb_shift; + + mid_shift: if i>0 and i<7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of shift_mux_lut : label is "E4"; + -- + begin + + shift_mux_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(3), + I1 => sx(i-1), + I2 => sx(i+1), + O => shift_value(i) ); + + end generate mid_shift; + + msb_shift: if i=7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of shift_mux_lut : label is "E4"; + -- + begin + + shift_mux_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(3), + I1 => sx(i-1), + I2 => shift_in, + O => shift_value(i) ); + + end generate msb_shift; + + shift_flop: FDR + port map ( D => shift_value(i), + Q => shift_result(i), + R => sel_shift, + C => clk); + + end generate shift_loop; +-- +------------------------------------------------------------------------------------ +-- +-- Arithmetic operations +-- +-- Definition of ADD, ADDCY, SUB and SUBCY functions which also provides COMPARE. +-- Includes pipeline stage used to form ALU multiplexer including decode. +-- +------------------------------------------------------------------------------------ +-- + sel_arith_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"1F") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + O => sel_arith ); + + arith_loop: for i in 0 to 7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of arith_lut : label is "96"; + -- + begin + + lsb_arith: if i=0 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of arith_carry_in_lut : label is "6C"; + -- + begin + + arith_carry_in_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"6C") + --synthesis translate_on + port map( I0 => instruction(13), + I1 => instruction(14), + I2 => carry_flag, + O => sel_arith_carry_in ); + + arith_carry_in_muxcy: MUXCY + port map( DI => '0', + CI => '1', + S => sel_arith_carry_in, + O => arith_carry_in); + + arith_muxcy: MUXCY + port map( DI => sx(i), + CI => arith_carry_in, + S => half_arith(i), + O => arith_internal_carry(i)); + + arith_xor: XORCY + port map( LI => half_arith(i), + CI => arith_carry_in, + O => arith_value(i)); + + end generate lsb_arith; + + mid_arith: if i>0 and i<7 generate + begin + + arith_muxcy: MUXCY + port map( DI => sx(i), + CI => arith_internal_carry(i-1), + S => half_arith(i), + O => arith_internal_carry(i)); + + arith_xor: XORCY + port map( LI => half_arith(i), + CI => arith_internal_carry(i-1), + O => arith_value(i)); + + end generate mid_arith; + + msb_arith: if i=7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of arith_carry_out_lut : label is "2"; + -- + begin + + arith_muxcy: MUXCY + port map( DI => sx(i), + CI => arith_internal_carry(i-1), + S => half_arith(i), + O => arith_internal_carry(i)); + + arith_xor: XORCY + port map( LI => half_arith(i), + CI => arith_internal_carry(i-1), + O => arith_value(i)); + + arith_carry_out_lut: LUT1 + --synthesis translate_off + generic map (INIT => X"2") + --synthesis translate_on + port map( I0 => instruction(14), + O => invert_arith_carry ); + + arith_carry_out_xor: XORCY + port map( LI => invert_arith_carry, + CI => arith_internal_carry(i), + O => arith_carry_out); + + arith_carry_flop: FDR + port map ( D => arith_carry_out, + Q => arith_carry, + R => sel_arith, + C => clk); + + end generate msb_arith; + + arith_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"96") + --synthesis translate_on + port map( I0 => sx(i), + I1 => second_operand(i), + I2 => instruction(14), + O => half_arith(i)); + + arith_flop: FDR + port map ( D => arith_value(i), + Q => arith_result(i), + R => sel_arith, + C => clk); + + end generate arith_loop; +-- +-- +------------------------------------------------------------------------------------ +-- +-- ALU multiplexer +-- +------------------------------------------------------------------------------------ +-- + input_fetch_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0002") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => input_fetch_type ); + + sel_group_flop: FD + port map ( D => input_fetch_type, + Q => sel_group, + C => clk); + + alu_mux_loop: for i in 0 to 7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of or_lut : label is "FE"; + attribute INIT of mux_lut : label is "E4"; + -- + begin + + or_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"FE") + --synthesis translate_on + port map( I0 => logical_result(i), + I1 => arith_result(i), + I2 => shift_result(i), + O => alu_group(i)); + + mux_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(13), + I1 => in_port(i), + I2 => store_data(i), + O => input_group(i)); + + shift_in_muxf5: MUXF5 + port map( I1 => input_group(i), + I0 => alu_group(i), + S => sel_group, + O => alu_result(i) ); + + end generate alu_mux_loop; +-- +------------------------------------------------------------------------------------ +-- +-- Read and Write Strobes +-- +------------------------------------------------------------------------------------ +-- + io_decode_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0010") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(13), + I2 => instruction(14), + I3 => instruction(16), + O => io_initial_decode ); + + write_active_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"4000") + --synthesis translate_on + port map( I0 => t_state, + I1 => instruction(15), + I2 => instruction(17), + I3 => io_initial_decode, + O => write_active ); + + write_strobe_flop: FDR + port map ( D => write_active, + Q => write_strobe, + R => internal_reset, + C => clk); + + read_active_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0100") + --synthesis translate_on + port map( I0 => t_state, + I1 => instruction(15), + I2 => instruction(17), + I3 => io_initial_decode, + O => read_active ); + + read_strobe_flop: FDR + port map ( D => read_active, + Q => read_strobe, + R => internal_reset, + C => clk); +-- +------------------------------------------------------------------------------------ +-- +-- Program CALL/RETURN stack +-- +-- Provided the counter and memory for a 32 deep stack supporting nested +-- subroutine calls to a depth of 31 levels. +-- +------------------------------------------------------------------------------------ +-- + -- Stack memory is 32 locations of 10-bit single port. + + stack_ram_inv: INV -- Inverter should be implemented in the WE to RAM + port map( I => t_state, + O => stack_write_enable); + + stack_ram_loop: for i in 0 to 9 generate + -- + -- Attribute to define RAM contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of stack_bit : label is "00000000"; + -- + begin + + stack_bit: RAM32X1S + --synthesis translate_off + generic map(INIT => X"00000000") + --synthesis translate_on + port map ( D => pc(i), + WE => stack_write_enable, + WCLK => clk, + A0 => stack_address(0), + A1 => stack_address(1), + A2 => stack_address(2), + A3 => stack_address(3), + A4 => stack_address(4), + O => stack_ram_data(i)); + + stack_flop: FD + port map ( D => stack_ram_data(i), + Q => stack_pop_data(i), + C => clk); + + end generate stack_ram_loop; + + -- Stack address pointer is a 5-bit counter + + stack_count_inv: INV -- Inverter should be implemented in the CE to the flip-flops + port map( I => active_interrupt, + O => not_active_interrupt); + + stack_count_loop: for i in 0 to 4 generate + begin + + register_bit: FDRE + port map ( D => next_stack_address(i), + Q => stack_address(i), + R => internal_reset, + CE => not_active_interrupt, + C => clk); + + lsb_stack_count: if i=0 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of count_lut : label is "6555"; + -- + begin + + count_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"6555") + --synthesis translate_on + port map( I0 => stack_address(i), + I1 => t_state, + I2 => valid_to_move, + I3 => push_or_pop_type, + O => half_stack_address(i) ); + + count_muxcy: MUXCY + port map( DI => stack_address(i), + CI => '0', + S => half_stack_address(i), + O => stack_address_carry(i)); + + count_xor: XORCY + port map( LI => half_stack_address(i), + CI => '0', + O => next_stack_address(i)); + + end generate lsb_stack_count; + + mid_stack_count: if i>0 and i<4 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of count_lut : label is "A999"; + -- + begin + + count_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"A999") + --synthesis translate_on + port map( I0 => stack_address(i), + I1 => t_state, + I2 => valid_to_move, + I3 => call_type, + O => half_stack_address(i) ); + + count_muxcy: MUXCY + port map( DI => stack_address(i), + CI => stack_address_carry(i-1), + S => half_stack_address(i), + O => stack_address_carry(i)); + + count_xor: XORCY + port map( LI => half_stack_address(i), + CI => stack_address_carry(i-1), + O => next_stack_address(i)); + + end generate mid_stack_count; + + + msb_stack_count: if i=4 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of count_lut : label is "A999"; + -- + begin + + count_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"A999") + --synthesis translate_on + port map( I0 => stack_address(i), + I1 => t_state, + I2 => valid_to_move, + I3 => call_type, + O => half_stack_address(i) ); + + count_xor: XORCY + port map( LI => half_stack_address(i), + CI => stack_address_carry(i-1), + O => next_stack_address(i)); + + end generate msb_stack_count; + + end generate stack_count_loop; + +-- +------------------------------------------------------------------------------------ +-- +-- End of description for KCPSM3 macro. +-- +------------------------------------------------------------------------------------ +-- +--********************************************************************************** +-- Code for simulation purposes only after this line +--********************************************************************************** +-- +------------------------------------------------------------------------------------ +-- +-- Code for simulation. +-- +-- Disassemble the instruction codes to form a text string variable for display. +-- Determine status of reset and flags and present in the form of a text string. +-- Provide a local variables to simulate the contents of each register and scratch +-- pad memory location. +-- +------------------------------------------------------------------------------------ +-- + --All of this section is ignored during synthesis. + --synthesis translate off + + simulation: process (clk, instruction) + -- + --complete instruction decode + -- + variable kcpsm3_opcode : string(1 to 19); + -- + --Status of flags and processor + -- + variable kcpsm3_status : string(1 to 13):= "NZ, NC, Reset"; + + -- + --contents of each register + -- + variable s0_contents : std_logic_vector(7 downto 0):=X"00"; + variable s1_contents : std_logic_vector(7 downto 0):=X"00"; + variable s2_contents : std_logic_vector(7 downto 0):=X"00"; + variable s3_contents : std_logic_vector(7 downto 0):=X"00"; + variable s4_contents : std_logic_vector(7 downto 0):=X"00"; + variable s5_contents : std_logic_vector(7 downto 0):=X"00"; + variable s6_contents : std_logic_vector(7 downto 0):=X"00"; + variable s7_contents : std_logic_vector(7 downto 0):=X"00"; + variable s8_contents : std_logic_vector(7 downto 0):=X"00"; + variable s9_contents : std_logic_vector(7 downto 0):=X"00"; + variable sa_contents : std_logic_vector(7 downto 0):=X"00"; + variable sb_contents : std_logic_vector(7 downto 0):=X"00"; + variable sc_contents : std_logic_vector(7 downto 0):=X"00"; + variable sd_contents : std_logic_vector(7 downto 0):=X"00"; + variable se_contents : std_logic_vector(7 downto 0):=X"00"; + variable sf_contents : std_logic_vector(7 downto 0):=X"00"; + -- + --contents of each scratch pad memory location + -- + variable spm00_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm01_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm02_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm03_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm04_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm05_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm06_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm07_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm08_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm09_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0a_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0b_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0c_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0d_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0e_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0f_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm10_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm11_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm12_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm13_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm14_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm15_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm16_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm17_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm18_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm19_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1a_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1b_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1c_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1d_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1e_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1f_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm20_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm21_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm22_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm23_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm24_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm25_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm26_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm27_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm28_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm29_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2a_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2b_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2c_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2d_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2e_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2f_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm30_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm31_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm32_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm33_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm34_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm35_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm36_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm37_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm38_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm39_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3a_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3b_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3c_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3d_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3e_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3f_contents : std_logic_vector(7 downto 0):=X"00"; + -- + --temporary variables + -- + variable sx_decode : string(1 to 2); --sX register specification + variable sy_decode : string(1 to 2); --sY register specification + variable kk_decode : string(1 to 2); --constant value specification + variable aaa_decode : string(1 to 3); --address specification + -- + -------------------------------------------------------------------------------- + -- + -- Function to convert 4-bit binary nibble to hexadecimal character + -- + -------------------------------------------------------------------------------- + -- + function hexcharacter (nibble: std_logic_vector(3 downto 0)) + return character is + variable hex: character; + begin + case nibble is + when "0000" => hex := '0'; + when "0001" => hex := '1'; + when "0010" => hex := '2'; + when "0011" => hex := '3'; + when "0100" => hex := '4'; + when "0101" => hex := '5'; + when "0110" => hex := '6'; + when "0111" => hex := '7'; + when "1000" => hex := '8'; + when "1001" => hex := '9'; + when "1010" => hex := 'A'; + when "1011" => hex := 'B'; + when "1100" => hex := 'C'; + when "1101" => hex := 'D'; + when "1110" => hex := 'E'; + when "1111" => hex := 'F'; + when others => hex := 'x'; + end case; + return hex; + end hexcharacter; + -- + -------------------------------------------------------------------------------- + -- + begin + + -- decode first register + sx_decode(1) := 's'; + sx_decode(2) := hexcharacter(instruction(11 downto 8)); + + -- decode second register + sy_decode(1) := 's'; + sy_decode(2) := hexcharacter(instruction(7 downto 4)); + + -- decode constant value + kk_decode(1) := hexcharacter(instruction(7 downto 4)); + kk_decode(2) := hexcharacter(instruction(3 downto 0)); + + -- address value + aaa_decode(1) := hexcharacter("00" & instruction(9 downto 8)); + aaa_decode(2) := hexcharacter(instruction(7 downto 4)); + aaa_decode(3) := hexcharacter(instruction(3 downto 0)); + + -- decode instruction + case instruction(17 downto 12) is + when "000000" => kcpsm3_opcode := "LOAD " & sx_decode & ',' & kk_decode & " "; + when "000001" => kcpsm3_opcode := "LOAD " & sx_decode & ',' & sy_decode & " "; + when "001010" => kcpsm3_opcode := "AND " & sx_decode & ',' & kk_decode & " "; + when "001011" => kcpsm3_opcode := "AND " & sx_decode & ',' & sy_decode & " "; + when "001100" => kcpsm3_opcode := "OR " & sx_decode & ',' & kk_decode & " "; + when "001101" => kcpsm3_opcode := "OR " & sx_decode & ',' & sy_decode & " "; + when "001110" => kcpsm3_opcode := "XOR " & sx_decode & ',' & kk_decode & " "; + when "001111" => kcpsm3_opcode := "XOR " & sx_decode & ',' & sy_decode & " "; + when "010010" => kcpsm3_opcode := "TEST " & sx_decode & ',' & kk_decode & " "; + when "010011" => kcpsm3_opcode := "TEST " & sx_decode & ',' & sy_decode & " "; + when "011000" => kcpsm3_opcode := "ADD " & sx_decode & ',' & kk_decode & " "; + when "011001" => kcpsm3_opcode := "ADD " & sx_decode & ',' & sy_decode & " "; + when "011010" => kcpsm3_opcode := "ADDCY " & sx_decode & ',' & kk_decode & " "; + when "011011" => kcpsm3_opcode := "ADDCY " & sx_decode & ',' & sy_decode & " "; + when "011100" => kcpsm3_opcode := "SUB " & sx_decode & ',' & kk_decode & " "; + when "011101" => kcpsm3_opcode := "SUB " & sx_decode & ',' & sy_decode & " "; + when "011110" => kcpsm3_opcode := "SUBCY " & sx_decode & ',' & kk_decode & " "; + when "011111" => kcpsm3_opcode := "SUBCY " & sx_decode & ',' & sy_decode & " "; + when "010100" => kcpsm3_opcode := "COMPARE " & sx_decode & ',' & kk_decode & " "; + when "010101" => kcpsm3_opcode := "COMPARE " & sx_decode & ',' & sy_decode & " "; + when "100000" => + case instruction(3 downto 0) is + when "0110" => kcpsm3_opcode := "SL0 " & sx_decode & " "; + when "0111" => kcpsm3_opcode := "SL1 " & sx_decode & " "; + when "0100" => kcpsm3_opcode := "SLX " & sx_decode & " "; + when "0000" => kcpsm3_opcode := "SLA " & sx_decode & " "; + when "0010" => kcpsm3_opcode := "RL " & sx_decode & " "; + when "1110" => kcpsm3_opcode := "SR0 " & sx_decode & " "; + when "1111" => kcpsm3_opcode := "SR1 " & sx_decode & " "; + when "1010" => kcpsm3_opcode := "SRX " & sx_decode & " "; + when "1000" => kcpsm3_opcode := "SRA " & sx_decode & " "; + when "1100" => kcpsm3_opcode := "RR " & sx_decode & " "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "101100" => kcpsm3_opcode := "OUTPUT " & sx_decode & ',' & kk_decode & " "; + when "101101" => kcpsm3_opcode := "OUTPUT " & sx_decode & ",(" & sy_decode & ") "; + when "000100" => kcpsm3_opcode := "INPUT " & sx_decode & ',' & kk_decode & " "; + when "000101" => kcpsm3_opcode := "INPUT " & sx_decode & ",(" & sy_decode & ") "; + when "101110" => kcpsm3_opcode := "STORE " & sx_decode & ',' & kk_decode & " "; + when "101111" => kcpsm3_opcode := "STORE " & sx_decode & ",(" & sy_decode & ") "; + when "000110" => kcpsm3_opcode := "FETCH " & sx_decode & ',' & kk_decode & " "; + when "000111" => kcpsm3_opcode := "FETCH " & sx_decode & ",(" & sy_decode & ") "; + when "110100" => kcpsm3_opcode := "JUMP " & aaa_decode & " "; + when "110101" => + case instruction(11 downto 10) is + when "00" => kcpsm3_opcode := "JUMP Z," & aaa_decode & " "; + when "01" => kcpsm3_opcode := "JUMP NZ," & aaa_decode & " "; + when "10" => kcpsm3_opcode := "JUMP C," & aaa_decode & " "; + when "11" => kcpsm3_opcode := "JUMP NC," & aaa_decode & " "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "110000" => kcpsm3_opcode := "CALL " & aaa_decode & " "; + when "110001" => + case instruction(11 downto 10) is + when "00" => kcpsm3_opcode := "CALL Z," & aaa_decode & " "; + when "01" => kcpsm3_opcode := "CALL NZ," & aaa_decode & " "; + when "10" => kcpsm3_opcode := "CALL C," & aaa_decode & " "; + when "11" => kcpsm3_opcode := "CALL NC," & aaa_decode & " "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "101010" => kcpsm3_opcode := "RETURN "; + when "101011" => + case instruction(11 downto 10) is + when "00" => kcpsm3_opcode := "RETURN Z "; + when "01" => kcpsm3_opcode := "RETURN NZ "; + when "10" => kcpsm3_opcode := "RETURN C "; + when "11" => kcpsm3_opcode := "RETURN NC "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "111000" => + case instruction(0) is + when '0' => kcpsm3_opcode := "RETURNI DISABLE "; + when '1' => kcpsm3_opcode := "RETURNI ENABLE "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "111100" => + case instruction(0) is + when '0' => kcpsm3_opcode := "DISABLE INTERRUPT "; + when '1' => kcpsm3_opcode := "ENABLE INTERRUPT "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + + if clk'event and clk='1' then + + --reset and flag status information + if reset='1' or reset_delay='1' then + kcpsm3_status := "NZ, NC, Reset"; + else + kcpsm3_status(7 to 13) := " "; + if flag_enable='1' then + if zero_carry='1' then + kcpsm3_status(1 to 4) := " Z, "; + else + kcpsm3_status(1 to 4) := "NZ, "; + end if; + if sel_carry(3)='1' then + kcpsm3_status(5 to 6) := " C"; + else + kcpsm3_status(5 to 6) := "NC"; + end if; + end if; + end if; + + --simulation of register contents + if register_enable='1' then + case instruction(11 downto 8) is + when "0000" => s0_contents := alu_result; + when "0001" => s1_contents := alu_result; + when "0010" => s2_contents := alu_result; + when "0011" => s3_contents := alu_result; + when "0100" => s4_contents := alu_result; + when "0101" => s5_contents := alu_result; + when "0110" => s6_contents := alu_result; + when "0111" => s7_contents := alu_result; + when "1000" => s8_contents := alu_result; + when "1001" => s9_contents := alu_result; + when "1010" => sa_contents := alu_result; + when "1011" => sb_contents := alu_result; + when "1100" => sc_contents := alu_result; + when "1101" => sd_contents := alu_result; + when "1110" => se_contents := alu_result; + when "1111" => sf_contents := alu_result; + when others => null; + end case; + end if; + + --simulation of scratch pad memory contents + if memory_enable='1' then + case second_operand(5 downto 0) is + when "000000" => spm00_contents := sx; + when "000001" => spm01_contents := sx; + when "000010" => spm02_contents := sx; + when "000011" => spm03_contents := sx; + when "000100" => spm04_contents := sx; + when "000101" => spm05_contents := sx; + when "000110" => spm06_contents := sx; + when "000111" => spm07_contents := sx; + when "001000" => spm08_contents := sx; + when "001001" => spm09_contents := sx; + when "001010" => spm0a_contents := sx; + when "001011" => spm0b_contents := sx; + when "001100" => spm0c_contents := sx; + when "001101" => spm0d_contents := sx; + when "001110" => spm0e_contents := sx; + when "001111" => spm0f_contents := sx; + when "010000" => spm10_contents := sx; + when "010001" => spm11_contents := sx; + when "010010" => spm12_contents := sx; + when "010011" => spm13_contents := sx; + when "010100" => spm14_contents := sx; + when "010101" => spm15_contents := sx; + when "010110" => spm16_contents := sx; + when "010111" => spm17_contents := sx; + when "011000" => spm18_contents := sx; + when "011001" => spm19_contents := sx; + when "011010" => spm1a_contents := sx; + when "011011" => spm1b_contents := sx; + when "011100" => spm1c_contents := sx; + when "011101" => spm1d_contents := sx; + when "011110" => spm1e_contents := sx; + when "011111" => spm1f_contents := sx; + when "100000" => spm20_contents := sx; + when "100001" => spm21_contents := sx; + when "100010" => spm22_contents := sx; + when "100011" => spm23_contents := sx; + when "100100" => spm24_contents := sx; + when "100101" => spm25_contents := sx; + when "100110" => spm26_contents := sx; + when "100111" => spm27_contents := sx; + when "101000" => spm28_contents := sx; + when "101001" => spm29_contents := sx; + when "101010" => spm2a_contents := sx; + when "101011" => spm2b_contents := sx; + when "101100" => spm2c_contents := sx; + when "101101" => spm2d_contents := sx; + when "101110" => spm2e_contents := sx; + when "101111" => spm2f_contents := sx; + when "110000" => spm30_contents := sx; + when "110001" => spm31_contents := sx; + when "110010" => spm32_contents := sx; + when "110011" => spm33_contents := sx; + when "110100" => spm34_contents := sx; + when "110101" => spm35_contents := sx; + when "110110" => spm36_contents := sx; + when "110111" => spm37_contents := sx; + when "111000" => spm38_contents := sx; + when "111001" => spm39_contents := sx; + when "111010" => spm3a_contents := sx; + when "111011" => spm3b_contents := sx; + when "111100" => spm3c_contents := sx; + when "111101" => spm3d_contents := sx; + when "111110" => spm3e_contents := sx; + when "111111" => spm3f_contents := sx; + when others => null; + end case; + end if; + + end if; + + end process simulation; + + --synthesis translate on +-- +--********************************************************************************** +-- End of simulation code. +--********************************************************************************** +-- +-- +end low_level_definition; +-- +------------------------------------------------------------------------------------ +-- +-- END OF FILE KCPSM3.VHD +-- +------------------------------------------------------------------------------------ diff --git a/legacy/flash-programmer/kcuart_rx.vhd b/legacy/flash-programmer/kcuart_rx.vhd new file mode 100755 index 0000000..7c22540 --- /dev/null +++ b/legacy/flash-programmer/kcuart_rx.vhd @@ -0,0 +1,352 @@ +-- Constant (K) Compact UART Receiver +-- +-- Version : 1.10 +-- Version Date : 3rd December 2003 +-- Reason : '--translate' directives changed to '--synthesis translate' directives +-- +-- Version : 1.00 +-- Version Date : 16th October 2002 +-- +-- Start of design entry : 16th October 2002 +-- +-- Ken Chapman +-- Xilinx Ltd +-- Benchmark House +-- 203 Brooklands Road +-- Weybridge +-- Surrey KT13 ORH +-- United Kingdom +-- +-- chapman@xilinx.com +-- +------------------------------------------------------------------------------------ +-- +-- NOTICE: +-- +-- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other +-- third parties. By providing this core as one possible implementation of a standard, +-- Xilinx is making no representation that the provided implementation of this standard +-- is free from any claims of infringement by any third party. Xilinx expressly +-- disclaims any warranty with respect to the adequacy of the implementation, including +-- but not limited to any warranty or representation that the implementation is free +-- from claims of any third party. Futhermore, Xilinx is providing this core as a +-- courtesy to you and suggests that you contact all third parties to obtain the +-- necessary rights to use this implementation. +-- +------------------------------------------------------------------------------------ +-- +-- Library declarations +-- +-- The Unisim Library is used to define Xilinx primitives. It is also used during +-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd +-- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +library unisim; +use unisim.vcomponents.all; +-- +------------------------------------------------------------------------------------ +-- +-- Main Entity for KCUART_RX +-- +entity kcuart_rx is + Port ( serial_in : in std_logic; + data_out : out std_logic_vector(7 downto 0); + data_strobe : out std_logic; + en_16_x_baud : in std_logic; + clk : in std_logic); + end kcuart_rx; +-- +------------------------------------------------------------------------------------ +-- +-- Start of Main Architecture for KCUART_RX +-- +architecture low_level_definition of kcuart_rx is +-- +------------------------------------------------------------------------------------ +-- +------------------------------------------------------------------------------------ +-- +-- Signals used in KCUART_RX +-- +------------------------------------------------------------------------------------ +-- +signal sync_serial : std_logic; +signal stop_bit : std_logic; +signal data_int : std_logic_vector(7 downto 0); +signal data_delay : std_logic_vector(7 downto 0); +signal start_delay : std_logic; +signal start_bit : std_logic; +signal edge_delay : std_logic; +signal start_edge : std_logic; +signal decode_valid_char : std_logic; +signal valid_char : std_logic; +signal decode_purge : std_logic; +signal purge : std_logic; +signal valid_srl_delay : std_logic_vector(8 downto 0); +signal valid_reg_delay : std_logic_vector(8 downto 0); +signal decode_data_strobe : std_logic; +-- +-- +------------------------------------------------------------------------------------ +-- +-- Attributes to define LUT contents during implementation +-- The information is repeated in the generic map for functional simulation-- +-- +------------------------------------------------------------------------------------ +-- +attribute INIT : string; +attribute INIT of start_srl : label is "0000"; +attribute INIT of edge_srl : label is "0000"; +attribute INIT of valid_lut : label is "0040"; +attribute INIT of purge_lut : label is "54"; +attribute INIT of strobe_lut : label is "8"; +-- +------------------------------------------------------------------------------------ +-- +-- Start of KCUART_RX circuit description +-- +------------------------------------------------------------------------------------ +-- +begin + + -- Synchronise input serial data to system clock + + sync_reg: FD + port map ( D => serial_in, + Q => sync_serial, + C => clk); + + stop_reg: FD + port map ( D => sync_serial, + Q => stop_bit, + C => clk); + + + -- Data delays to capture data at 16 time baud rate + -- Each SRL16E is followed by a flip-flop for best timing + + data_loop: for i in 0 to 7 generate + begin + + lsbs: if i<7 generate + -- + attribute INIT : string; + attribute INIT of delay15_srl : label is "0000"; + -- + begin + + delay15_srl: SRL16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => data_int(i+1), + CE => en_16_x_baud, + CLK => clk, + A0 => '0', + A1 => '1', + A2 => '1', + A3 => '1', + Q => data_delay(i) ); + + end generate lsbs; + + msb: if i=7 generate + -- + attribute INIT : string; + attribute INIT of delay15_srl : label is "0000"; + -- + begin + + delay15_srl: SRL16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => stop_bit, + CE => en_16_x_baud, + CLK => clk, + A0 => '0', + A1 => '1', + A2 => '1', + A3 => '1', + Q => data_delay(i) ); + + end generate msb; + + data_reg: FDE + port map ( D => data_delay(i), + Q => data_int(i), + CE => en_16_x_baud, + C => clk); + + end generate data_loop; + + -- Assign internal signals to outputs + + data_out <= data_int; + + -- Data delays to capture start bit at 16 time baud rate + + start_srl: SRL16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => data_int(0), + CE => en_16_x_baud, + CLK => clk, + A0 => '0', + A1 => '1', + A2 => '1', + A3 => '1', + Q => start_delay ); + + start_reg: FDE + port map ( D => start_delay, + Q => start_bit, + CE => en_16_x_baud, + C => clk); + + + -- Data delays to capture start bit leading edge at 16 time baud rate + -- Delay ensures data is captured at mid-bit position + + edge_srl: SRL16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => start_bit, + CE => en_16_x_baud, + CLK => clk, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '0', + Q => edge_delay ); + + edge_reg: FDE + port map ( D => edge_delay, + Q => start_edge, + CE => en_16_x_baud, + C => clk); + + -- Detect a valid character + + valid_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0040") + --synthesis translate_on + port map( I0 => purge, + I1 => stop_bit, + I2 => start_edge, + I3 => edge_delay, + O => decode_valid_char ); + + valid_reg: FDE + port map ( D => decode_valid_char, + Q => valid_char, + CE => en_16_x_baud, + C => clk); + + -- Purge of data status + + purge_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"54") + --synthesis translate_on + port map( I0 => valid_reg_delay(8), + I1 => valid_char, + I2 => purge, + O => decode_purge ); + + purge_reg: FDE + port map ( D => decode_purge, + Q => purge, + CE => en_16_x_baud, + C => clk); + + -- Delay of valid_char pulse of length equivalent to the time taken + -- to purge data shift register of all data which has been used. + -- Requires 9x16 + 8 delays which is achieved by packing of SRL16E with + -- up to 16 delays and utilising the dedicated flip flop in each stage. + + valid_loop: for i in 0 to 8 generate + begin + + lsb: if i=0 generate + -- + attribute INIT : string; + attribute INIT of delay15_srl : label is "0000"; + -- + begin + + delay15_srl: SRL16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => valid_char, + CE => en_16_x_baud, + CLK => clk, + A0 => '0', + A1 => '1', + A2 => '1', + A3 => '1', + Q => valid_srl_delay(i) ); + + end generate lsb; + + msbs: if i>0 generate + -- + attribute INIT : string; + attribute INIT of delay16_srl : label is "0000"; + -- + begin + + delay16_srl: SRL16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => valid_reg_delay(i-1), + CE => en_16_x_baud, + CLK => clk, + A0 => '1', + A1 => '1', + A2 => '1', + A3 => '1', + Q => valid_srl_delay(i) ); + + end generate msbs; + + data_reg: FDE + port map ( D => valid_srl_delay(i), + Q => valid_reg_delay(i), + CE => en_16_x_baud, + C => clk); + + end generate valid_loop; + + -- Form data strobe + + strobe_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"8") + --synthesis translate_on + port map( I0 => valid_char, + I1 => en_16_x_baud, + O => decode_data_strobe ); + + strobe_reg: FD + port map ( D => decode_data_strobe, + Q => data_strobe, + C => clk); + +end low_level_definition; + +------------------------------------------------------------------------------------ +-- +-- END OF FILE KCUART_RX.VHD +-- +------------------------------------------------------------------------------------ + + diff --git a/legacy/flash-programmer/kcuart_tx.vhd b/legacy/flash-programmer/kcuart_tx.vhd new file mode 100755 index 0000000..b8fec85 --- /dev/null +++ b/legacy/flash-programmer/kcuart_tx.vhd @@ -0,0 +1,394 @@ +-- Constant (K) Compact UART Transmitter +-- +-- Version : 1.10 +-- Version Date : 3rd December 2003 +-- Reason : '--translate' directives changed to '--synthesis translate' directives +-- +-- Version : 1.00 +-- Version Date : 14th October 2002 +-- +-- Start of design entry : 2nd October 2002 +-- +-- Ken Chapman +-- Xilinx Ltd +-- Benchmark House +-- 203 Brooklands Road +-- Weybridge +-- Surrey KT13 ORH +-- United Kingdom +-- +-- chapman@xilinx.com +-- +------------------------------------------------------------------------------------ +-- +-- NOTICE: +-- +-- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other +-- third parties. By providing this core as one possible implementation of a standard, +-- Xilinx is making no representation that the provided implementation of this standard +-- is free from any claims of infringement by any third party. Xilinx expressly +-- disclaims any warranty with respect to the adequacy of the implementation, including +-- but not limited to any warranty or representation that the implementation is free +-- from claims of any third party. Futhermore, Xilinx is providing this core as a +-- courtesy to you and suggests that you contact all third parties to obtain the +-- necessary rights to use this implementation. +-- +------------------------------------------------------------------------------------ +-- +-- Library declarations +-- +-- The Unisim Library is used to define Xilinx primitives. It is also used during +-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd +-- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +library unisim; +use unisim.vcomponents.all; +-- +------------------------------------------------------------------------------------ +-- +-- Main Entity for KCUART_TX +-- +entity kcuart_tx is + Port ( data_in : in std_logic_vector(7 downto 0); + send_character : in std_logic; + en_16_x_baud : in std_logic; + serial_out : out std_logic; + Tx_complete : out std_logic; + clk : in std_logic); + end kcuart_tx; +-- +------------------------------------------------------------------------------------ +-- +-- Start of Main Architecture for KCUART_TX +-- +architecture low_level_definition of kcuart_tx is +-- +------------------------------------------------------------------------------------ +-- +------------------------------------------------------------------------------------ +-- +-- Signals used in KCUART_TX +-- +------------------------------------------------------------------------------------ +-- +signal data_01 : std_logic; +signal data_23 : std_logic; +signal data_45 : std_logic; +signal data_67 : std_logic; +signal data_0123 : std_logic; +signal data_4567 : std_logic; +signal data_01234567 : std_logic; +signal bit_select : std_logic_vector(2 downto 0); +signal next_count : std_logic_vector(2 downto 0); +signal mask_count : std_logic_vector(2 downto 0); +signal mask_count_carry : std_logic_vector(2 downto 0); +signal count_carry : std_logic_vector(2 downto 0); +signal ready_to_start : std_logic; +signal decode_Tx_start : std_logic; +signal Tx_start : std_logic; +signal decode_Tx_run : std_logic; +signal Tx_run : std_logic; +signal decode_hot_state : std_logic; +signal hot_state : std_logic; +signal hot_delay : std_logic; +signal Tx_bit : std_logic; +signal decode_Tx_stop : std_logic; +signal Tx_stop : std_logic; +signal decode_Tx_complete : std_logic; +-- +-- +------------------------------------------------------------------------------------ +-- +-- Attributes to define LUT contents during implementation +-- The information is repeated in the generic map for functional simulation-- +-- +------------------------------------------------------------------------------------ +-- +attribute INIT : string; +attribute INIT of mux1_lut : label is "E4FF"; +attribute INIT of mux2_lut : label is "E4FF"; +attribute INIT of mux3_lut : label is "E4FF"; +attribute INIT of mux4_lut : label is "E4FF"; +attribute INIT of ready_lut : label is "10"; +attribute INIT of start_lut : label is "0190"; +attribute INIT of run_lut : label is "1540"; +attribute INIT of hot_state_lut : label is "94"; +attribute INIT of delay14_srl : label is "0000"; +attribute INIT of stop_lut : label is "0180"; +attribute INIT of complete_lut : label is "8"; +-- +------------------------------------------------------------------------------------ +-- +-- Start of KCUART_TX circuit description +-- +------------------------------------------------------------------------------------ +-- +begin + + -- 8 to 1 multiplexer to convert parallel data to serial + + mux1_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"E4FF") + --synthesis translate_on + port map( I0 => bit_select(0), + I1 => data_in(0), + I2 => data_in(1), + I3 => Tx_run, + O => data_01 ); + + mux2_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"E4FF") + --synthesis translate_on + port map( I0 => bit_select(0), + I1 => data_in(2), + I2 => data_in(3), + I3 => Tx_run, + O => data_23 ); + + mux3_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"E4FF") + --synthesis translate_on + port map( I0 => bit_select(0), + I1 => data_in(4), + I2 => data_in(5), + I3 => Tx_run, + O => data_45 ); + + mux4_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"E4FF") + --synthesis translate_on + port map( I0 => bit_select(0), + I1 => data_in(6), + I2 => data_in(7), + I3 => Tx_run, + O => data_67 ); + + mux5_muxf5: MUXF5 + port map( I1 => data_23, + I0 => data_01, + S => bit_select(1), + O => data_0123 ); + + mux6_muxf5: MUXF5 + port map( I1 => data_67, + I0 => data_45, + S => bit_select(1), + O => data_4567 ); + + mux7_muxf6: MUXF6 + port map( I1 => data_4567, + I0 => data_0123, + S => bit_select(2), + O => data_01234567 ); + + -- Register serial output and force start and stop bits + + pipeline_serial: FDRS + port map ( D => data_01234567, + Q => serial_out, + R => Tx_start, + S => Tx_stop, + C => clk); + + -- 3-bit counter + -- Counter is clock enabled by en_16_x_baud + -- Counter will be reset when 'Tx_start' is active + -- Counter will increment when Tx_bit is active + -- Tx_run must be active to count + -- count_carry(2) indicates when terminal count (7) is reached and Tx_bit=1 (ie overflow) + + count_width_loop: for i in 0 to 2 generate + -- + attribute INIT : string; + attribute INIT of count_lut : label is "8"; + -- + begin + + register_bit: FDRE + port map ( D => next_count(i), + Q => bit_select(i), + CE => en_16_x_baud, + R => Tx_start, + C => clk); + + count_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"8") + --synthesis translate_on + port map( I0 => bit_select(i), + I1 => Tx_run, + O => mask_count(i)); + + mask_and: MULT_AND + port map( I0 => bit_select(i), + I1 => Tx_run, + LO => mask_count_carry(i)); + + lsb_count: if i=0 generate + begin + + count_muxcy: MUXCY + port map( DI => mask_count_carry(i), + CI => Tx_bit, + S => mask_count(i), + O => count_carry(i)); + + count_xor: XORCY + port map( LI => mask_count(i), + CI => Tx_bit, + O => next_count(i)); + + end generate lsb_count; + + upper_count: if i>0 generate + begin + + count_muxcy: MUXCY + port map( DI => mask_count_carry(i), + CI => count_carry(i-1), + S => mask_count(i), + O => count_carry(i)); + + count_xor: XORCY + port map( LI => mask_count(i), + CI => count_carry(i-1), + O => next_count(i)); + + end generate upper_count; + + end generate count_width_loop; + + -- Ready to start decode + + ready_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"10") + --synthesis translate_on + port map( I0 => Tx_run, + I1 => Tx_start, + I2 => send_character, + O => ready_to_start ); + + -- Start bit enable + + start_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0190") + --synthesis translate_on + port map( I0 => Tx_bit, + I1 => Tx_stop, + I2 => ready_to_start, + I3 => Tx_start, + O => decode_Tx_start ); + + Tx_start_reg: FDE + port map ( D => decode_Tx_start, + Q => Tx_start, + CE => en_16_x_baud, + C => clk); + + + -- Run bit enable + + run_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"1540") + --synthesis translate_on + port map( I0 => count_carry(2), + I1 => Tx_bit, + I2 => Tx_start, + I3 => Tx_run, + O => decode_Tx_run ); + + Tx_run_reg: FDE + port map ( D => decode_Tx_run, + Q => Tx_run, + CE => en_16_x_baud, + C => clk); + + -- Bit rate enable + + hot_state_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"94") + --synthesis translate_on + port map( I0 => Tx_stop, + I1 => ready_to_start, + I2 => Tx_bit, + O => decode_hot_state ); + + hot_state_reg: FDE + port map ( D => decode_hot_state, + Q => hot_state, + CE => en_16_x_baud, + C => clk); + + delay14_srl: SRL16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => hot_state, + CE => en_16_x_baud, + CLK => clk, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '1', + Q => hot_delay ); + + Tx_bit_reg: FDE + port map ( D => hot_delay, + Q => Tx_bit, + CE => en_16_x_baud, + C => clk); + + -- Stop bit enable + + stop_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0180") + --synthesis translate_on + port map( I0 => Tx_bit, + I1 => Tx_run, + I2 => count_carry(2), + I3 => Tx_stop, + O => decode_Tx_stop ); + + Tx_stop_reg: FDE + port map ( D => decode_Tx_stop, + Q => Tx_stop, + CE => en_16_x_baud, + C => clk); + + -- Tx_complete strobe + + complete_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"8") + --synthesis translate_on + port map( I0 => count_carry(2), + I1 => en_16_x_baud, + O => decode_Tx_complete ); + + Tx_complete_reg: FD + port map ( D => decode_Tx_complete, + Q => Tx_complete, + C => clk); + + +end low_level_definition; + +------------------------------------------------------------------------------------ +-- +-- END OF FILE KCUART_TX.VHD +-- +------------------------------------------------------------------------------------ + + diff --git a/legacy/flash-programmer/parallel_flash_memory_uart_programmer.ucf b/legacy/flash-programmer/parallel_flash_memory_uart_programmer.ucf new file mode 100755 index 0000000..91eb221 --- /dev/null +++ b/legacy/flash-programmer/parallel_flash_memory_uart_programmer.ucf @@ -0,0 +1,91 @@ +# Constraints for 'parallel_flash_memory_uart_programmer'. +# +# Revision C of the Spartan-3E Starter Kit. +# +# Ken Chapman - Xilinx Ltd - 28th March 2006 +# +# +# Period constraint for 50MHz operation +# +NET "clk" PERIOD = 15.0ns HIGH 50%; +# +# +# soldered 50MHz Clock. +# +NET "clk" LOC = "P122" | IOSTANDARD = LVTTL; +# +# +# +# UART connections +# +NET "tx_female" LOC = "P32" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4; +NET "rx_female" LOC = "P29" | IOSTANDARD = LVTTL; +# +# +# Strata Flash +# 128MBit = 16,777,216 bytes requiring 24 address bits. +# +NET "strataflash_oe" LOC = "P105" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_ce" LOC = "P104" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_we" LOC = "P103" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +#NET "strataflash_byte" LOC = "C17" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +#NET "strataflash_sts" LOC = "B18" | IOSTANDARD = LVCMOS33 | PULLUP; +# +NET "strataflash_a<0>" LOC = "P98" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<1>" LOC = "P97" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<2>" LOC = "P96" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<3>" LOC = "P94" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<4>" LOC = "P93" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<5>" LOC = "P92" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<6>" LOC = "P91" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<7>" LOC = "P88" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<8>" LOC = "P87" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<9>" LOC = "P86" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<10>" LOC = "P85" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<11>" LOC = "P82" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<12>" LOC = "P81" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<13>" LOC = "P77" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<14>" LOC = "P76" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<15>" LOC = "P75" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<16>" LOC = "P74" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<17>" LOC = "P70" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<18>" LOC = "P68" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<19>" LOC = "P67" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_a<20>" LOC = "P71" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +#NET "strataflash_a<21>" LOC = "P63" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +#NET "strataflash_a<22>" LOC = "V12" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +#NET "strataflash_a<23>" LOC = "N11" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +# +NET "strataflash_d<0>" LOC = "P63" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_d<1>" LOC = "P59" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_d<2>" LOC = "P58" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_d<3>" LOC = "P54" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_d<4>" LOC = "P53" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_d<5>" LOC = "P52" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_d<6>" LOC = "P51" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +NET "strataflash_d<7>" LOC = "P50" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4; +# +# +# LCD display +# (Disable LCD display to ensure no contention with StratFlash memory). +# +#NET "lcd_rw" LOC = "L17" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 2; +#NET "lcd_e" LOC = "M18" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 2; +# +# +# SPI devices +# (Disable to prevent contention with StratFlash memory data bit0). +# +#NET "spi_rom_cs" LOC = "U3" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 2; +#NET "spi_adc_conv" LOC = "P11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 2; +#NET "spi_dac_cs" LOC = "N8" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 2; +# +# +# Platform Flash memory +# (Disable to prevent contention with StratFlash memory data bit0). +# +#NET "platformflash_oe" LOC = "T3" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 2; +# +# End of File +# + diff --git a/legacy/flash-programmer/parallel_flash_memory_uart_programmer.vhd b/legacy/flash-programmer/parallel_flash_memory_uart_programmer.vhd new file mode 100755 index 0000000..e230924 --- /dev/null +++ b/legacy/flash-programmer/parallel_flash_memory_uart_programmer.vhd @@ -0,0 +1,424 @@ +-- KCPSM3 reference design +-- PicoBlaze performing programming of Intel StrataFlash NOR Flash Memory. +-- +-- Design provided and tested on the Spartan-3E Starter Kit (Revision C). +-- +-- Ken Chapman - Xilinx Ltd - 28th March 2006. +-- +-- The JTAG loader utility is also available for rapid program development. +-- +-- The design is set up for a 50MHz system clock and UART communications of 115200 baud +-- 8-bit, no parity, 1 stop-bit. IMPORTANT note: Soft flow control XON/XOFF is used. +-- +------------------------------------------------------------------------------------ +-- +-- NOTICE: +-- +-- Copyright Xilinx, Inc. 2006. This code may be contain portions patented by other +-- third parties. By providing this core as one possible implementation of a standard, +-- Xilinx is making no representation that the provided implementation of this standard +-- is free from any claims of infringement by any third party. Xilinx expressly +-- disclaims any warranty with respect to the adequacy of the implementation, including +-- but not limited to any warranty or representation that the implementation is free +-- from claims of any third party. Furthermore, Xilinx is providing this core as a +-- courtesy to you and suggests that you contact all third parties to obtain the +-- necessary rights to use this implementation. +-- +------------------------------------------------------------------------------------ +-- +-- Library declarations +-- +-- Standard IEEE libraries +-- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +-- +------------------------------------------------------------------------------------ +-- +-- +entity parallel_flash_memory_uart_programmer is + Port ( tx_female : out std_logic; + rx_female : in std_logic; + strataflash_oe : out std_logic; + strataflash_ce : out std_logic; + strataflash_we : out std_logic; + + strataflash_a : out std_logic_vector(20 downto 0); + strataflash_d : inout std_logic_vector(7 downto 0); + clk : in std_logic); + end parallel_flash_memory_uart_programmer; + + +-- +------------------------------------------------------------------------------------ +-- +-- Start of test architecture +-- +architecture Behavioral of parallel_flash_memory_uart_programmer is +-- +------------------------------------------------------------------------------------ + +-- +-- declaration of KCPSM3 +-- + component kcpsm3 + Port ( address : out std_logic_vector(9 downto 0); + instruction : in std_logic_vector(17 downto 0); + port_id : out std_logic_vector(7 downto 0); + write_strobe : out std_logic; + out_port : out std_logic_vector(7 downto 0); + read_strobe : out std_logic; + in_port : in std_logic_vector(7 downto 0); + interrupt : in std_logic; + interrupt_ack : out std_logic; + reset : in std_logic; + clk : in std_logic); + end component; +-- +-- declaration of program ROM +-- + component progctrl + Port ( address : in std_logic_vector(9 downto 0); + instruction : out std_logic_vector(17 downto 0); + proc_reset : out std_logic; --JTAG Loader version + clk : in std_logic); + end component; +-- +-- declaration of UART transmitter with integral 16 byte FIFO buffer +-- Note this is a modified version of the standard 'uart_tx' in which +-- the 'data_present' signal has also been brought out to better support +-- the XON/XOFF flow control. +-- + component uart_tx_plus + Port ( data_in : in std_logic_vector(7 downto 0); + write_buffer : in std_logic; + reset_buffer : in std_logic; + en_16_x_baud : in std_logic; + serial_out : out std_logic; + buffer_data_present : out std_logic; + buffer_full : out std_logic; + buffer_half_full : out std_logic; + clk : in std_logic); + end component; +-- +-- declaration of UART Receiver with integral 16 byte FIFO buffer +-- + component uart_rx + Port ( serial_in : in std_logic; + data_out : out std_logic_vector(7 downto 0); + read_buffer : in std_logic; + reset_buffer : in std_logic; + en_16_x_baud : in std_logic; + buffer_data_present : out std_logic; + buffer_full : out std_logic; + buffer_half_full : out std_logic; + clk : in std_logic); + end component; +-- +------------------------------------------------------------------------------------ +-- +-- Signals used to connect KCPSM3 to program ROM and I/O logic +-- +signal address : std_logic_vector(9 downto 0); +signal instruction : std_logic_vector(17 downto 0); +signal port_id : std_logic_vector(7 downto 0); +signal out_port : std_logic_vector(7 downto 0); +signal in_port : std_logic_vector(7 downto 0); +signal write_strobe : std_logic; +signal read_strobe : std_logic; +signal interrupt : std_logic :='0'; +signal interrupt_ack : std_logic; +signal kcpsm3_reset : std_logic; +-- +-- Signals for connection of peripherals +-- +signal status_port : std_logic_vector(7 downto 0); +-- +-- +-- Signals for UART connections +-- +signal baud_count : integer range 0 to 35 :=0; +signal en_16_x_baud : std_logic; +signal write_to_uart : std_logic; +signal tx_data_present : std_logic; +signal tx_full : std_logic; +signal tx_half_full : std_logic; +signal read_from_uart : std_logic; +signal rx_data : std_logic_vector(7 downto 0); +signal rx_data_present : std_logic; +signal rx_full : std_logic; +signal rx_half_full : std_logic; +-- +-- +-- Signals used to generate interrupt +-- +signal previous_rx_half_full : std_logic; +signal rx_half_full_event : std_logic; +-- +-- +-- Signals to connect to StrataFLASH memory +-- +signal strataflash_read : std_logic; +signal write_data : std_logic_vector(7 downto 0); +-- +------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +-- +-- Start of circuit description +-- +begin + + ---------------------------------------------------------------------------------------------------------------------------------- + -- Set 8-bit mode of operation for StrataFLASH memory + ---------------------------------------------------------------------------------------------------------------------------------- + -- + -- The StrataFLASH memory can be used in 8-bit or 16-bit modes. Since PicoBlaze is an 8-bit + -- processor and the configuration from parallel flash is conducted using an 8-bit interface, + -- this design forces the 8-bit data mode. + -- + -- As a result, the 128Mbit memory is organised as 16,777,216 bytes accessed using a 24-bit address. + -- + -- + -- + ---------------------------------------------------------------------------------------------------------------------------------- + -- Bidirectional data interface for StrataFLASH memory + ---------------------------------------------------------------------------------------------------------------------------------- + -- + -- To read the StrataFLASH memory the output enable (OE) signal must be driven Low on the memory and + -- the pins on the Spartan-3E must become inputs (i.e. the output buffers must be high impedance). + -- + -- + strataflash_oe <= not(strataflash_read); --active Low output enable + -- + strataflash_d <= write_data when (strataflash_read='0') else "ZZZZZZZZ"; + -- + ---------------------------------------------------------------------------------------------------------------------------------- + -- KCPSM3 and the program memory + ---------------------------------------------------------------------------------------------------------------------------------- + -- + + processor: kcpsm3 + port map( address => address, + instruction => instruction, + port_id => port_id, + write_strobe => write_strobe, + out_port => out_port, + read_strobe => read_strobe, + in_port => in_port, + interrupt => interrupt, + interrupt_ack => interrupt_ack, + reset => kcpsm3_reset, + clk => clk); + + program_rom: progctrl + port map( address => address, + instruction => instruction, + proc_reset => kcpsm3_reset, + clk => clk); + + -- + ---------------------------------------------------------------------------------------------------------------------------------- + -- Interrupt + ---------------------------------------------------------------------------------------------------------------------------------- + -- + -- + -- Interrupt is used to detect when the UART receiver FIFO reaches half full and this is + -- then used to send XON and XOFF flow control characters back to the PC. + -- + -- If 'rx_half_full' goes High, an interrupt is generated and the subsequent ISR will transmit + -- an XOFF character to stop the flow of new characters from the PC and allow the FIFO to start to empty. + -- + -- If 'rx_half_full' goes Low, an interrupt is generated and the subsequent ISR will transmit + -- an XON character which will allow the PC to send new characters and allow the FIFO to start to fill. + -- + + interrupt_control: process(clk) + begin + if clk'event and clk='1' then + + -- detect change in state of the 'rx_half_full' flag. + previous_rx_half_full <= rx_half_full; + rx_half_full_event <= previous_rx_half_full xor rx_half_full; + + -- processor interrupt waits for an acknowledgement + if interrupt_ack='1' then + interrupt <= '0'; + elsif rx_half_full_event='1' then + interrupt <= '1'; + else + interrupt <= interrupt; + end if; + + end if; + end process interrupt_control; + + -- + ---------------------------------------------------------------------------------------------------------------------------------- + -- KCPSM3 input ports + ---------------------------------------------------------------------------------------------------------------------------------- + -- + -- + -- UART FIFO status signals to form a bus + -- Also the status signal (STS) from the StrataFlash memory + +-- status_port <= strataflash_sts & '0' & rx_full & rx_half_full & rx_data_present & tx_full & tx_half_full & tx_data_present; + status_port <= '0' & '0' & rx_full & rx_half_full & rx_data_present & tx_full & tx_half_full & tx_data_present; + + -- + -- The inputs connect via a pipelined multiplexer + -- + + input_ports: process(clk) + begin + if clk'event and clk='1' then + + case port_id(1 downto 0) is + + + -- read status signals at address 00 hex + when "00" => in_port <= status_port; + + -- read UART receive data at address 01 hex + when "01" => in_port <= rx_data; + + -- read StrataFLASH memory data at address 02 hex + when "10" => in_port <= strataflash_d; + + -- Don't care used for all other addresses to ensure minimum logic implementation + when others => in_port <= "XXXXXXXX"; + + end case; + + -- Form read strobe for UART receiver FIFO buffer at address 01 hex. + -- The fact that the read strobe will occur after the actual data is read by + -- the KCPSM3 is acceptable because it is really means 'I have read you'! + + if (read_strobe='1' and port_id(1 downto 0)="01") then + read_from_uart <= '1'; + else + read_from_uart <= '0'; + end if; + + end if; + + end process input_ports; + + + -- + ---------------------------------------------------------------------------------------------------------------------------------- + -- KCPSM3 output ports + ---------------------------------------------------------------------------------------------------------------------------------- + -- + + -- adding the output registers to the processor + + output_ports: process(clk) + begin + + if clk'event and clk='1' then + if write_strobe='1' then + + -- The 24-bit address to the StrataFLASH memory requires 3 ports. + + -- Address [23:16] at port 80 hex + if port_id(7)='1' then + strataflash_a(20 downto 16) <= out_port(4 downto 0); + end if; + + -- Address [15:8] at port 40 hex + if port_id(6)='1' then + strataflash_a(15 downto 8) <= out_port; + end if; + + -- Address [7:0] at port 20 hex + if port_id(5)='1' then + strataflash_a(7 downto 0) <= out_port; + end if; + + -- Data to be written to StrataFlash at port 10 hex + if port_id(4)='1' then + write_data <= out_port; + end if; + + -- StrataFlash control signals at port 08 hex + if port_id(3)='1' then + strataflash_read <= out_port(0); --Active High and used to control data bus direction and OE + strataflash_ce <= out_port(1); --Active Low StrataFLASH device enable + strataflash_we <= out_port(2); --Active Low StrataFLASH write enable + end if; + + end if; + + end if; + + end process output_ports; + + -- + -- write to UART transmitter FIFO buffer at address 04 hex. + -- This is a combinatorial decode because the FIFO is the 'port register'. + -- + + write_to_uart <= '1' when (write_strobe='1' and port_id(2)='1') else '0'; + + + -- + ---------------------------------------------------------------------------------------------------------------------------------- + -- UART + ---------------------------------------------------------------------------------------------------------------------------------- + -- + -- Connect the 8-bit, 1 stop-bit, no parity transmit and receive macros. + -- Each contains an embedded 16-byte FIFO buffer. + -- + + transmit: uart_tx_plus + port map ( data_in => out_port, + write_buffer => write_to_uart, + reset_buffer => '0', + en_16_x_baud => en_16_x_baud, + serial_out => tx_female, + buffer_data_present => tx_data_present, + buffer_full => tx_full, + buffer_half_full => tx_half_full, + clk => clk ); + + receive: uart_rx + port map ( serial_in => rx_female, + data_out => rx_data, + read_buffer => read_from_uart, + reset_buffer => '0', + en_16_x_baud => en_16_x_baud, + buffer_data_present => rx_data_present, + buffer_full => rx_full, + buffer_half_full => rx_half_full, + clk => clk ); + + -- + -- Set baud rate to 115200 for the UART communications + -- Requires en_16_x_baud to be 1843200Hz which is a single cycle pulse every 27 cycles at 50MHz + -- + + baud_timer: process(clk) + begin + if clk'event and clk='1' then + if baud_count=34 then + baud_count <= 0; + en_16_x_baud <= '1'; + else + baud_count <= baud_count + 1; + en_16_x_baud <= '0'; + end if; + end if; + end process baud_timer; + + -- + ---------------------------------------------------------------------------------------------------------------------------------- + +end Behavioral; + +------------------------------------------------------------------------------------------------------------------------------------ +-- +-- END OF FILE parallel_flash_memory_uart_programmer.vhd +-- +------------------------------------------------------------------------------------------------------------------------------------ + diff --git a/legacy/flash-programmer/progctrl.vhd b/legacy/flash-programmer/progctrl.vhd new file mode 100755 index 0000000..bc3a70e --- /dev/null +++ b/legacy/flash-programmer/progctrl.vhd @@ -0,0 +1,459 @@ +-- +-- Definition of a dual port ROM for KCPSM2 or KCPSM3 program defined by progctrl.psm +-- and assmbled using KCPSM2 or KCPSM3 assembler. +-- +-- Standard IEEE libraries +-- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +-- +-- The Unisim Library is used to define Xilinx primitives. It is also used during +-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd +-- +library unisim; +use unisim.vcomponents.all; +-- +-- +entity progctrl is + Port ( address : in std_logic_vector(9 downto 0); + instruction : out std_logic_vector(17 downto 0); + proc_reset : out std_logic; + clk : in std_logic); + end progctrl; +-- +architecture low_level_definition of progctrl is +-- +-- Declare signals internal to this module +-- +signal jaddr : std_logic_vector(10 downto 0); +signal jparity : std_logic_vector(0 downto 0); +signal jdata : std_logic_vector(7 downto 0); +signal doa : std_logic_vector(7 downto 0); +signal dopa : std_logic_vector(0 downto 0); +signal tdo1 : std_logic; +signal tdo2 : std_logic; +signal update : std_logic; +signal shift : std_logic; +signal reset : std_logic; +signal tdi : std_logic; +signal sel1 : std_logic; +signal drck1 : std_logic; +signal drck1_buf : std_logic; +signal sel2 : std_logic; +signal drck2 : std_logic; +signal capture : std_logic; +signal tap5 : std_logic; +signal tap11 : std_logic; +signal tap17 : std_logic; +-- +-- Attributes to define ROM contents during implementation synthesis. +-- The information is repeated in the generic map for functional simulation +-- +attribute INIT_00 : string; +attribute INIT_01 : string; +attribute INIT_02 : string; +attribute INIT_03 : string; +attribute INIT_04 : string; +attribute INIT_05 : string; +attribute INIT_06 : string; +attribute INIT_07 : string; +attribute INIT_08 : string; +attribute INIT_09 : string; +attribute INIT_0A : string; +attribute INIT_0B : string; +attribute INIT_0C : string; +attribute INIT_0D : string; +attribute INIT_0E : string; +attribute INIT_0F : string; +attribute INIT_10 : string; +attribute INIT_11 : string; +attribute INIT_12 : string; +attribute INIT_13 : string; +attribute INIT_14 : string; +attribute INIT_15 : string; +attribute INIT_16 : string; +attribute INIT_17 : string; +attribute INIT_18 : string; +attribute INIT_19 : string; +attribute INIT_1A : string; +attribute INIT_1B : string; +attribute INIT_1C : string; +attribute INIT_1D : string; +attribute INIT_1E : string; +attribute INIT_1F : string; +attribute INIT_20 : string; +attribute INIT_21 : string; +attribute INIT_22 : string; +attribute INIT_23 : string; +attribute INIT_24 : string; +attribute INIT_25 : string; +attribute INIT_26 : string; +attribute INIT_27 : string; +attribute INIT_28 : string; +attribute INIT_29 : string; +attribute INIT_2A : string; +attribute INIT_2B : string; +attribute INIT_2C : string; +attribute INIT_2D : string; +attribute INIT_2E : string; +attribute INIT_2F : string; +attribute INIT_30 : string; +attribute INIT_31 : string; +attribute INIT_32 : string; +attribute INIT_33 : string; +attribute INIT_34 : string; +attribute INIT_35 : string; +attribute INIT_36 : string; +attribute INIT_37 : string; +attribute INIT_38 : string; +attribute INIT_39 : string; +attribute INIT_3A : string; +attribute INIT_3B : string; +attribute INIT_3C : string; +attribute INIT_3D : string; +attribute INIT_3E : string; +attribute INIT_3F : string; +attribute INITP_00 : string; +attribute INITP_01 : string; +attribute INITP_02 : string; +attribute INITP_03 : string; +attribute INITP_04 : string; +attribute INITP_05 : string; +attribute INITP_06 : string; +attribute INITP_07 : string; +-- +-- Attributes to define ROM contents during implementation synthesis. +-- +attribute INIT_00 of ram_1024_x_18 : label is "502C4042502A4045002201310F3E019301930193023301990193C001011A0027"; +attribute INIT_01 of ram_1024_x_18 : label is "013101310F3F019350D540535003404850C2404950A34052508C405750474050"; +attribute INIT_02 of ram_1024_x_18 : label is "011F02D401930904402D09FEA000C0080006A000013E10F00131011F40070131"; +attribute INIT_03 of ram_1024_x_18 : label is "02F14007022C5C38C902004101310F2E07000800019302090193543F4F590131"; +attribute INIT_04 of ram_1024_x_18 : label is "B0004B01006700574007022C004C01E20193A00000F700EA01D000EA01204007"; +attribute INIT_05 of ram_1024_x_18 : label is "B0004F0AB0004F0D011F54584F3A011F0E2B404C00776A2B0193016D504C4B04"; +attribute INIT_06 of ram_1024_x_18 : label is "8D02B4004B0450724B007BD08D037CD00D2B405B8E01F0E0017412F0011F13F0"; +attribute INIT_07 of ram_1024_x_18 : label is "032F00EAC10111A05077208000E000EA01E8A00078D0CD0177D0CD01A00079D0"; +attribute INIT_08 of ram_1024_x_18 : label is "1900588C018D02FEA00000F700EA01D05480CA018301A900A800870100EA7130"; +attribute INIT_09 of ram_1024_x_18 : label is "110000EA01404007022C0193009D5896018D030E1700588C018D1800588C018D"; +attribute INIT_0A of ram_1024_x_18 : label is "022C00B10193170058A3018D180058A3018D190058A3018D02FEA00000F700EA"; +attribute INIT_0B of ram_1024_x_18 : label is "54B2C60154B6C5010167A900A800870100E0019605100196016D019306104007"; +attribute INIT_0C of ram_1024_x_18 : label is "07020196016700E000EA0190070008000900019601310F3D02C60193A0000193"; +attribute INIT_0D of ram_1024_x_18 : label is "400700F40193016700E0019300EA0170070008000900400700F40193016700E0"; +attribute INIT_0E of ram_1024_x_18 : label is "C1080100C110C720C840C980A000C108400201060106C1080105C720C840C980"; +attribute INIT_0F of ram_1024_x_18 : label is "A00000F450F9208000E0AE008D010D000E00A00000EA01FFA000C10801060106"; +attribute INIT_10 of ram_1024_x_18 : label is "A000550CC10101070128A0005508C001000BA0000193016710D0016710E00193"; +attribute INIT_11 of ram_1024_x_18 : label is "C000A000551BC40101150414A0005516C30101100314A0005511C201010B0219"; +attribute INIT_12 of ram_1024_x_18 : label is "51204F114F014129552D20084000A000C00151294F134F014120552420084000"; +attribute INIT_13 of ram_1024_x_18 : label is "B8004061A000803AC1015D38C00A81010130A000CF0441315135200140004129"; +attribute INIT_14 of ram_1024_x_18 : label is "02069200020602061200B80001447010A000C0F6B80080C6A000A0DFBC00407B"; +attribute INIT_15 of ram_1024_x_18 : label is "0162A00F101012000162000E000E000E000E1100A0009200B800014470108101"; +attribute INIT_16 of ram_1024_x_18 : label is "108001671090A00001311F1001311F200156A000803A80075965C00AA0001100"; +attribute INIT_17 of ram_1024_x_18 : label is "D030B8000181102003060306030603061300B80001811030A000016710700167"; +attribute INIT_18 of ram_1024_x_18 : label is "002213000022A000800AA000C0F6B80080075D8BC011B800C0E9B80080B9A000"; +attribute INIT_19 of ram_1024_x_18 : label is "0F6301310F6901310F5001930193A00001310F20A00001310F0DA00001741200"; +attribute INIT_1A of ram_1024_x_18 : label is "01310F4E019601310F6501310F7A01310F6101310F6C01310F4201310F6F0131"; +attribute INIT_1B of ram_1024_x_18 : label is "019601310F4801310F5301310F4101310F4C01310F46019601310F5201310F4F"; +attribute INIT_1C of ram_1024_x_18 : label is "01310F6D01310F6D01310F6101310F7201310F6701310F6F01310F7201310F50"; +attribute INIT_1D of ram_1024_x_18 : label is "019301310F3001310F3001310F2E01310F3101310F76019601310F7201310F65"; +attribute INIT_1E of ram_1024_x_18 : label is "01310F6701310F6E01310F6901310F7401310F6901310F6101310F57A0000193"; +attribute INIT_1F of ram_1024_x_18 : label is "0F46019601310F5301310F4301310F4D019601310F7201310F6F01310F660196"; +attribute INIT_20 of ram_1024_x_18 : label is "0F50019601310F6E01310F690220A000019301310F6501310F6C01310F690131"; +attribute INIT_21 of ram_1024_x_18 : label is "A0000193013101310F7301310F6501310F7201310F6701310F6F01310F720131"; +attribute INIT_22 of ram_1024_x_18 : label is "0F4B01310F4F0193A000019601310F6501310F7301310F6101310F7201310F45"; +attribute INIT_23 of ram_1024_x_18 : label is "0F420193013101310F6C01310F61022001310F2D01310F450193A00001930131"; +attribute INIT_24 of ram_1024_x_18 : label is "01310F7301310F6B01310F6301310F6F01310F6C01310F62022001310F2D0131"; +attribute INIT_25 of ram_1024_x_18 : label is "01310F7201310F5001310F2D01310F50019301310F3301310F2D01310F310196"; +attribute INIT_26 of ram_1024_x_18 : label is "0F5701310F2D01310F5701F701310F6D01310F6101310F7201310F6701310F6F"; +attribute INIT_27 of ram_1024_x_18 : label is "01310F2D01310F52019302CB019601310F6501310F7401310F6901310F720131"; +attribute INIT_28 of ram_1024_x_18 : label is "019601310F3601310F3501310F32019601310F6401310F6101310F6501310F52"; +attribute INIT_29 of ram_1024_x_18 : label is "01310F6901310F7601310F6501310F4401310F2D01310F49019301310F7302CB"; +attribute INIT_2A of ram_1024_x_18 : label is "0F6C01310F6501310F4801310F2D01310F48019302C6019601310F6501310F63"; +attribute INIT_2B of ram_1024_x_18 : label is "01310F7401310F6101310F7401310F5301310F2D01310F53019301310F700131"; +attribute INIT_2C of ram_1024_x_18 : label is "0F7401310F7901310F62A00001310F4401310F49A000019301310F7301310F75"; +attribute INIT_2D of ram_1024_x_18 : label is "0F7201310F6901310F6601310F6E01310F6F01310F430193A00001310F650131"; +attribute INIT_2E of ram_1024_x_18 : label is "019601310F2901310F6E01310F2F01310F5901310F280220019601310F6D0131"; +attribute INIT_2F of ram_1024_x_18 : label is "0F610193A000019301310F7401310F7201310F6F01310F6201310F410193A000"; +attribute INIT_30 of ram_1024_x_18 : label is "0F640193A00001310F3D013101310F7301310F6501310F72013101310F640131"; +attribute INIT_31 of ram_1024_x_18 : label is "00000000000000000000000000000000430B01310F6101310F7401310F610131"; +attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; +attribute INIT_3F of ram_1024_x_18 : label is "43F580016000C004001143FC001353FB20104000E00000000000000000000000"; +attribute INITP_00 of ram_1024_x_18 : label is "34DF2118674436CC99F73CFD9FFFEF33FF7C0FF7FCCA2CFFF3DDDDDDDDF3FFFF"; +attribute INITP_01 of ram_1024_x_18 : label is "BDD42CA08AAA022AFFFC03FF3FC03CFBDDD5F3F3FCF3CFEF33FFF3CF3FBCD55C"; +attribute INITP_02 of ram_1024_x_18 : label is "2CAA2CB332CCE5D8C0EA89B19A2C998999752BD3D3D2F4F4EDCB72DCB72D2F33"; +attribute INITP_03 of ram_1024_x_18 : label is "3CCCF333CCCCCCCBF33333CCCCCCCCCCF33333CCCF333333333ECB2CCE667666"; +attribute INITP_04 of ram_1024_x_18 : label is "CCFF3333333CCCCCCCCCF333CCCCCCF33F33CCEF33BCCCCCBF3333333CCEF333"; +attribute INITP_05 of ram_1024_x_18 : label is "3BCCCCCEF33333F3333333B3332CCBCCCCCCCCF333333FCCCCCCCCF3F333CCCC"; +attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000F3333B3CCCF3"; +attribute INITP_07 of ram_1024_x_18 : label is "F233480000000000000000000000000000000000000000000000000000000000"; +-- +begin +-- + --Instantiate the Xilinx primitive for a block RAM + ram_1024_x_18: RAMB16_S9_S18 + --synthesis translate_off + --INIT values repeated to define contents for functional simulation + generic map (INIT_00 => X"502C4042502A4045002201310F3E019301930193023301990193C001011A0027", + INIT_01 => X"013101310F3F019350D540535003404850C2404950A34052508C405750474050", + INIT_02 => X"011F02D401930904402D09FEA000C0080006A000013E10F00131011F40070131", + INIT_03 => X"02F14007022C5C38C902004101310F2E07000800019302090193543F4F590131", + INIT_04 => X"B0004B01006700574007022C004C01E20193A00000F700EA01D000EA01204007", + INIT_05 => X"B0004F0AB0004F0D011F54584F3A011F0E2B404C00776A2B0193016D504C4B04", + INIT_06 => X"8D02B4004B0450724B007BD08D037CD00D2B405B8E01F0E0017412F0011F13F0", + INIT_07 => X"032F00EAC10111A05077208000E000EA01E8A00078D0CD0177D0CD01A00079D0", + INIT_08 => X"1900588C018D02FEA00000F700EA01D05480CA018301A900A800870100EA7130", + INIT_09 => X"110000EA01404007022C0193009D5896018D030E1700588C018D1800588C018D", + INIT_0A => X"022C00B10193170058A3018D180058A3018D190058A3018D02FEA00000F700EA", + INIT_0B => X"54B2C60154B6C5010167A900A800870100E0019605100196016D019306104007", + INIT_0C => X"07020196016700E000EA0190070008000900019601310F3D02C60193A0000193", + INIT_0D => X"400700F40193016700E0019300EA0170070008000900400700F40193016700E0", + INIT_0E => X"C1080100C110C720C840C980A000C108400201060106C1080105C720C840C980", + INIT_0F => X"A00000F450F9208000E0AE008D010D000E00A00000EA01FFA000C10801060106", + INIT_10 => X"A000550CC10101070128A0005508C001000BA0000193016710D0016710E00193", + INIT_11 => X"C000A000551BC40101150414A0005516C30101100314A0005511C201010B0219", + INIT_12 => X"51204F114F014129552D20084000A000C00151294F134F014120552420084000", + INIT_13 => X"B8004061A000803AC1015D38C00A81010130A000CF0441315135200140004129", + INIT_14 => X"02069200020602061200B80001447010A000C0F6B80080C6A000A0DFBC00407B", + INIT_15 => X"0162A00F101012000162000E000E000E000E1100A0009200B800014470108101", + INIT_16 => X"108001671090A00001311F1001311F200156A000803A80075965C00AA0001100", + INIT_17 => X"D030B8000181102003060306030603061300B80001811030A000016710700167", + INIT_18 => X"002213000022A000800AA000C0F6B80080075D8BC011B800C0E9B80080B9A000", + INIT_19 => X"0F6301310F6901310F5001930193A00001310F20A00001310F0DA00001741200", + INIT_1A => X"01310F4E019601310F6501310F7A01310F6101310F6C01310F4201310F6F0131", + INIT_1B => X"019601310F4801310F5301310F4101310F4C01310F46019601310F5201310F4F", + INIT_1C => X"01310F6D01310F6D01310F6101310F7201310F6701310F6F01310F7201310F50", + INIT_1D => X"019301310F3001310F3001310F2E01310F3101310F76019601310F7201310F65", + INIT_1E => X"01310F6701310F6E01310F6901310F7401310F6901310F6101310F57A0000193", + INIT_1F => X"0F46019601310F5301310F4301310F4D019601310F7201310F6F01310F660196", + INIT_20 => X"0F50019601310F6E01310F690220A000019301310F6501310F6C01310F690131", + INIT_21 => X"A0000193013101310F7301310F6501310F7201310F6701310F6F01310F720131", + INIT_22 => X"0F4B01310F4F0193A000019601310F6501310F7301310F6101310F7201310F45", + INIT_23 => X"0F420193013101310F6C01310F61022001310F2D01310F450193A00001930131", + INIT_24 => X"01310F7301310F6B01310F6301310F6F01310F6C01310F62022001310F2D0131", + INIT_25 => X"01310F7201310F5001310F2D01310F50019301310F3301310F2D01310F310196", + INIT_26 => X"0F5701310F2D01310F5701F701310F6D01310F6101310F7201310F6701310F6F", + INIT_27 => X"01310F2D01310F52019302CB019601310F6501310F7401310F6901310F720131", + INIT_28 => X"019601310F3601310F3501310F32019601310F6401310F6101310F6501310F52", + INIT_29 => X"01310F6901310F7601310F6501310F4401310F2D01310F49019301310F7302CB", + INIT_2A => X"0F6C01310F6501310F4801310F2D01310F48019302C6019601310F6501310F63", + INIT_2B => X"01310F7401310F6101310F7401310F5301310F2D01310F53019301310F700131", + INIT_2C => X"0F7401310F7901310F62A00001310F4401310F49A000019301310F7301310F75", + INIT_2D => X"0F7201310F6901310F6601310F6E01310F6F01310F430193A00001310F650131", + INIT_2E => X"019601310F2901310F6E01310F2F01310F5901310F280220019601310F6D0131", + INIT_2F => X"0F610193A000019301310F7401310F7201310F6F01310F6201310F410193A000", + INIT_30 => X"0F640193A00001310F3D013101310F7301310F6501310F72013101310F640131", + INIT_31 => X"00000000000000000000000000000000430B01310F6101310F7401310F610131", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"43F580016000C004001143FC001353FB20104000E00000000000000000000000", + INITP_00 => X"34DF2118674436CC99F73CFD9FFFEF33FF7C0FF7FCCA2CFFF3DDDDDDDDF3FFFF", + INITP_01 => X"BDD42CA08AAA022AFFFC03FF3FC03CFBDDD5F3F3FCF3CFEF33FFF3CF3FBCD55C", + INITP_02 => X"2CAA2CB332CCE5D8C0EA89B19A2C998999752BD3D3D2F4F4EDCB72DCB72D2F33", + INITP_03 => X"3CCCF333CCCCCCCBF33333CCCCCCCCCCF33333CCCF333333333ECB2CCE667666", + INITP_04 => X"CCFF3333333CCCCCCCCCF333CCCCCCF33F33CCEF33BCCCCCBF3333333CCEF333", + INITP_05 => X"3BCCCCCEF33333F3333333B3332CCBCCCCCCCCF333333FCCCCCCCCF3F333CCCC", + INITP_06 => X"0000000000000000000000000000000000000000000000000000F3333B3CCCF3", + INITP_07 => X"F233480000000000000000000000000000000000000000000000000000000000") + --synthesis translate_on + port map( DIB => "0000000000000000", + DIPB => "00", + ENB => '1', + WEB => '0', + SSRB => '0', + CLKB => clk, + ADDRB => address, + DOB => instruction(15 downto 0), + DOPB => instruction(17 downto 16), + DIA => jdata, + DIPA => jparity, + ENA => sel1, + WEA => '1', + SSRA => '0', + CLKA => update, + ADDRA=> jaddr, + DOA => doa(7 downto 0), + DOPA => dopa); + v2_bscan: BSCAN_VIRTEX2 + port map( TDO1 => tdo1, + TDO2 => tdo2, + UPDATE => update, + SHIFT => shift, + RESET => reset, + TDI => tdi, + SEL1 => sel1, + DRCK1 => drck1, + SEL2 => sel2, + DRCK2 => drck2, + CAPTURE => capture); + --buffer signal used as a clock + upload_clock: BUFG + port map( I => drck1, + O => drck1_buf); + -- Assign the reset to be active whenever the uploading subsystem is active + proc_reset <= sel1; + srlC1: SRLC16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => tdi, + CE => '1', + CLK => drck1_buf, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '1', + Q => jaddr(10), + Q15 => jaddr(8)); + flop1: FD + port map ( D => jaddr(10), + Q => jaddr(9), + C => drck1_buf); + srlC2: SRLC16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => jaddr(8), + CE => '1', + CLK => drck1_buf, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '1', + Q => jaddr(7), + Q15 => tap5); + flop2: FD + port map ( D => jaddr(7), + Q => jaddr(6), + C => drck1_buf); + srlC3: SRLC16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => tap5, + CE => '1', + CLK => drck1_buf, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '1', + Q => jaddr(5), + Q15 => jaddr(3)); + flop3: FD + port map ( D => jaddr(5), + Q => jaddr(4), + C => drck1_buf); + srlC4: SRLC16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => jaddr(3), + CE => '1', + CLK => drck1_buf, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '1', + Q => jaddr(2), + Q15 => tap11); + flop4: FD + port map ( D => jaddr(2), + Q => jaddr(1), + C => drck1_buf); + srlC5: SRLC16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => tap11, + CE => '1', + CLK => drck1_buf, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '1', + Q => jaddr(0), + Q15 => jdata(7)); + flop5: FD + port map ( D => jaddr(0), + Q => jparity(0), + C => drck1_buf); + srlC6: SRLC16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => jdata(7), + CE => '1', + CLK => drck1_buf, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '1', + Q => jdata(6), + Q15 => tap17); + flop6: FD + port map ( D => jdata(6), + Q => jdata(5), + C => drck1_buf); + srlC7: SRLC16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => tap17, + CE => '1', + CLK => drck1_buf, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '1', + Q => jdata(4), + Q15 => jdata(2)); + flop7: FD + port map ( D => jdata(4), + Q => jdata(3), + C => drck1_buf); + srlC8: SRLC16E + --synthesis translate_off + generic map (INIT => X"0000") + --synthesis translate_on + port map( D => jdata(2), + CE => '1', + CLK => drck1_buf, + A0 => '1', + A1 => '0', + A2 => '1', + A3 => '1', + Q => jdata(1), + Q15 => tdo1); + flop8: FD + port map ( D => jdata(1), + Q => jdata(0), + C => drck1_buf); +end low_level_definition; +-- +------------------------------------------------------------------------------------ +-- +-- END OF FILE progctrl.vhd +-- +------------------------------------------------------------------------------------ diff --git a/legacy/flash-programmer/uart_rx.vhd b/legacy/flash-programmer/uart_rx.vhd new file mode 100755 index 0000000..b1374e6 --- /dev/null +++ b/legacy/flash-programmer/uart_rx.vhd @@ -0,0 +1,146 @@ +-- UART Receiver with integral 16 byte FIFO buffer +-- +-- 8 bit, no parity, 1 stop bit +-- +-- Version : 1.00 +-- Version Date : 16th October 2002 +-- +-- Start of design entry : 16th October 2002 +-- +-- Ken Chapman +-- Xilinx Ltd +-- Benchmark House +-- 203 Brooklands Road +-- Weybridge +-- Surrey KT13 ORH +-- United Kingdom +-- +-- chapman@xilinx.com +-- +------------------------------------------------------------------------------------ +-- +-- NOTICE: +-- +-- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other +-- third parties. By providing this core as one possible implementation of a standard, +-- Xilinx is making no representation that the provided implementation of this standard +-- is free from any claims of infringement by any third party. Xilinx expressly +-- disclaims any warranty with respect to the adequacy of the implementation, including +-- but not limited to any warranty or representation that the implementation is free +-- from claims of any third party. Futhermore, Xilinx is providing this core as a +-- courtesy to you and suggests that you contact all third parties to obtain the +-- necessary rights to use this implementation. +-- +------------------------------------------------------------------------------------ +-- +-- Library declarations +-- +-- The Unisim Library is used to define Xilinx primitives. It is also used during +-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd +-- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +library unisim; +use unisim.vcomponents.all; +-- +------------------------------------------------------------------------------------ +-- +-- Main Entity for UART_RX +-- +entity uart_rx is + Port ( serial_in : in std_logic; + data_out : out std_logic_vector(7 downto 0); + read_buffer : in std_logic; + reset_buffer : in std_logic; + en_16_x_baud : in std_logic; + buffer_data_present : out std_logic; + buffer_full : out std_logic; + buffer_half_full : out std_logic; + clk : in std_logic); + end uart_rx; +-- +------------------------------------------------------------------------------------ +-- +-- Start of Main Architecture for UART_RX +-- +architecture macro_level_definition of uart_rx is +-- +------------------------------------------------------------------------------------ +-- +-- Components used in UART_RX and defined in subsequent entities. +-- +------------------------------------------------------------------------------------ +-- +-- Constant (K) Compact UART Receiver +-- +component kcuart_rx + Port ( serial_in : in std_logic; + data_out : out std_logic_vector(7 downto 0); + data_strobe : out std_logic; + en_16_x_baud : in std_logic; + clk : in std_logic); + end component; +-- +-- 'Bucket Brigade' FIFO +-- +component bbfifo_16x8 + Port ( data_in : in std_logic_vector(7 downto 0); + data_out : out std_logic_vector(7 downto 0); + reset : in std_logic; + write : in std_logic; + read : in std_logic; + full : out std_logic; + half_full : out std_logic; + data_present : out std_logic; + clk : in std_logic); + end component; +-- +------------------------------------------------------------------------------------ +-- +-- Signals used in UART_RX +-- +------------------------------------------------------------------------------------ +-- +signal uart_data_out : std_logic_vector(7 downto 0); +signal fifo_write : std_logic; +-- +------------------------------------------------------------------------------------ +-- +-- Start of UART_RX circuit description +-- +------------------------------------------------------------------------------------ +-- +begin + + -- 8 to 1 multiplexer to convert parallel data to serial + + kcuart: kcuart_rx + port map ( serial_in => serial_in, + data_out => uart_data_out, + data_strobe => fifo_write, + en_16_x_baud => en_16_x_baud, + clk => clk ); + + + buf: bbfifo_16x8 + port map ( data_in => uart_data_out, + data_out => data_out, + reset => reset_buffer, + write => fifo_write, + read => read_buffer, + full => buffer_full, + half_full => buffer_half_full, + data_present => buffer_data_present, + clk => clk); + +end macro_level_definition; + +------------------------------------------------------------------------------------ +-- +-- END OF FILE UART_RX.VHD +-- +------------------------------------------------------------------------------------ + + diff --git a/legacy/flash-programmer/uart_tx_plus.vhd b/legacy/flash-programmer/uart_tx_plus.vhd new file mode 100755 index 0000000..2770de7 --- /dev/null +++ b/legacy/flash-programmer/uart_tx_plus.vhd @@ -0,0 +1,154 @@ +-- UART Transmitter with integral 16 byte FIFO buffer +-- +-- 8 bit, no parity, 1 stop bit +-- +-- Special version made 2nd November 2005 in which the data_present signal +-- was brough out as well as the half and full status signals. +-- +-- Version : 1.00 +-- Version Date : 14th October 2002 +-- +-- Start of design entry : 14th October 2002 +-- +-- Ken Chapman +-- Xilinx Ltd +-- Benchmark House +-- 203 Brooklands Road +-- Weybridge +-- Surrey KT13 ORH +-- United Kingdom +-- +-- chapman@xilinx.com +-- +------------------------------------------------------------------------------------ +-- +-- NOTICE: +-- +-- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other +-- third parties. By providing this core as one possible implementation of a standard, +-- Xilinx is making no representation that the provided implementation of this standard +-- is free from any claims of infringement by any third party. Xilinx expressly +-- disclaims any warranty with respect to the adequacy of the implementation, including +-- but not limited to any warranty or representation that the implementation is free +-- from claims of any third party. Futhermore, Xilinx is providing this core as a +-- courtesy to you and suggests that you contact all third parties to obtain the +-- necessary rights to use this implementation. +-- +------------------------------------------------------------------------------------ +-- +-- Library declarations +-- +-- The Unisim Library is used to define Xilinx primitives. It is also used during +-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd +-- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +library unisim; +use unisim.vcomponents.all; +-- +------------------------------------------------------------------------------------ +-- +-- Main Entity for uart_tx_plus +-- +entity uart_tx_plus is + Port ( data_in : in std_logic_vector(7 downto 0); + write_buffer : in std_logic; + reset_buffer : in std_logic; + en_16_x_baud : in std_logic; + serial_out : out std_logic; + buffer_data_present : out std_logic; + buffer_full : out std_logic; + buffer_half_full : out std_logic; + clk : in std_logic); + end uart_tx_plus; +-- +------------------------------------------------------------------------------------ +-- +-- Start of Main Architecture for uart_tx_plus +-- +architecture macro_level_definition of uart_tx_plus is +-- +------------------------------------------------------------------------------------ +-- +-- Components used in uart_tx_plus and defined in subsequent entities. +-- +------------------------------------------------------------------------------------ +-- +-- Constant (K) Compact UART Transmitter +-- +component kcuart_tx + Port ( data_in : in std_logic_vector(7 downto 0); + send_character : in std_logic; + en_16_x_baud : in std_logic; + serial_out : out std_logic; + Tx_complete : out std_logic; + clk : in std_logic); + end component; +-- +-- 'Bucket Brigade' FIFO +-- +component bbfifo_16x8 + Port ( data_in : in std_logic_vector(7 downto 0); + data_out : out std_logic_vector(7 downto 0); + reset : in std_logic; + write : in std_logic; + read : in std_logic; + full : out std_logic; + half_full : out std_logic; + data_present : out std_logic; + clk : in std_logic); + end component; +-- +------------------------------------------------------------------------------------ +-- +-- Signals used in uart_tx_plus +-- +------------------------------------------------------------------------------------ +-- +signal fifo_data_out : std_logic_vector(7 downto 0); +signal fifo_data_present : std_logic; +signal fifo_read : std_logic; +-- +------------------------------------------------------------------------------------ +-- +-- Start of UART_TX circuit description +-- +------------------------------------------------------------------------------------ +-- +begin + + -- 8 to 1 multiplexer to convert parallel data to serial + + kcuart: kcuart_tx + port map ( data_in => fifo_data_out, + send_character => fifo_data_present, + en_16_x_baud => en_16_x_baud, + serial_out => serial_out, + Tx_complete => fifo_read, + clk => clk); + + + buf: bbfifo_16x8 + port map ( data_in => data_in, + data_out => fifo_data_out, + reset => reset_buffer, + write => write_buffer, + read => fifo_read, + full => buffer_full, + half_full => buffer_half_full, + data_present => fifo_data_present, + clk => clk); + + buffer_data_present <= fifo_data_present; + +end macro_level_definition; + +------------------------------------------------------------------------------------ +-- +-- END OF FILE uart_tx_plus.vhd +-- +------------------------------------------------------------------------------------ + + diff --git a/legacy/hexapod_gl/Makefile b/legacy/hexapod_gl/Makefile new file mode 100755 index 0000000..14b5747 --- /dev/null +++ b/legacy/hexapod_gl/Makefile @@ -0,0 +1,7 @@ + +hexapod_gl: main.c hexapod.c + gcc -I../linux/liblicks/include main.c ../linux/liblicks/servo/servo.c -o hexapod_gl -lgl -lglut +# gcc -framework OpenGL -framework GLUT -I../linux/liblicks/include main.c ../linux/liblicks/servo/servo.c -o hexapod_gl + +clean: + rm -f *~ *.o hexapod_gl diff --git a/legacy/hexapod_gl/hexapod.c b/legacy/hexapod_gl/hexapod.c new file mode 100755 index 0000000..350a67f --- /dev/null +++ b/legacy/hexapod_gl/hexapod.c @@ -0,0 +1,159 @@ +#include +#include +#include + +#include "servo.h" + +#define NUM_LEGS 6 + +const float x_offsets[NUM_LEGS]={ 0.20, 0.00,-0.20,-0.20, 0.00, 0.20}; +const float y_offsets[NUM_LEGS]={ 0.10, 0.15, 0.10,-0.10,-0.15,-0.10}; + +float phi1[6]; +float phi2[6]; +float phi3[6]; + + +void +draw_hexapod() { + const float c =0.05f; + const float f =0.20f; + const float t =0.325f; + const float p1=0.025f; + + const float thickness=0.005f; + + int i,fd; + + fd=open("/tmp/servodata",O_RDONLY); + if(fd==-1) { +// perror("/tmp/servodata"); + } else { + read(fd,servo_pwm,sizeof(servo_pwm)); + close(fd); + for(i=0;i<6;i++) { + phi1[i]=servo_pwm[i*3]; + phi1[i]-=servo_offsets[i*3]; + phi2[i]=servo_pwm[i*3+1]; + phi2[i]-=servo_offsets[i*3+1]; + phi3[i]=servo_pwm[i*3+2]; + phi3[i]-=servo_offsets[i*3+2]; + phi1[i]*=0.1/8.5; + phi2[i]*=-0.1/8.5; + phi3[i]*=-0.1/8.5; + if(i>=3) { + phi2[i]=-phi2[i]; + phi3[i]=-phi3[i]; + } + + + } + } + glRotatef(90,0,0,1); + glRotatef(60,0,1,0); + + glColor3f(0.8,0.8,0.8); + glBegin(GL_TRIANGLES); + for(i=0;i=(NUM_LEGS/2)) { + glRotatef(-180,0,0,1); + } + + glRotatef(phi1[i],0,0,1); + glColor3f(1,1,0); + glBegin(GL_QUADS); + glVertex3f(0,0,0); + glVertex3f(0,c,0); + glVertex3f(thickness,c,0); + glVertex3f(thickness,0,0); + glEnd(); + + glTranslatef(0,c,0); + glRotatef(90,0,1,0); + glRotatef(phi2[i],0,0,1); + glColor3f(0,0,1); + glBegin(GL_QUADS); + glVertex3f(0,0,0); + glVertex3f(0,f,0); + glVertex3f(thickness,f,0); + glVertex3f(thickness,0,0); + + glVertex3f(0,f,0); + glVertex3f(0,0,0); + glVertex3f(0,0,thickness); + glVertex3f(0,f,thickness); + + glVertex3f(0,f,0); + glVertex3f(thickness,f,0); + glVertex3f(0,f,thickness); + glVertex3f(thickness,f,thickness); + + glVertex3f(thickness,f,0); + glVertex3f(thickness,0,0); + glVertex3f(thickness,0,thickness); + glVertex3f(thickness,f,thickness); + + + glVertex3f(0,0,thickness); + glVertex3f(0,f,thickness); + glVertex3f(thickness,f,thickness); + glVertex3f(thickness,0,thickness); + glEnd(); + + glTranslatef(0,f,0); + + glRotatef(-90-phi3[i],0,0,1); + glColor3f(1,0,0); + glBegin(GL_QUADS); + glVertex3f( p1, 0.0f, thickness/2); + glVertex3f(0.0f, -p1, thickness/2); + glVertex3f( -p1, 0.0f, thickness/2); + glVertex3f(0.0f, t, thickness/2); + + glVertex3f( p1, 0.0f, thickness/2); + glVertex3f( p1, 0.0f, -thickness/2); + glVertex3f(0.0f, -p1, thickness/2); + glVertex3f(0.0f, -p1, -thickness/2); + + glVertex3f(0.0f, -p1, thickness/2); + glVertex3f(0.0f, -p1, -thickness/2); + glVertex3f( -p1, 0.0f, thickness/2); + glVertex3f( -p1, 0.0f, -thickness/2); + + glVertex3f( -p1, 0.0f, thickness/2); + glVertex3f( -p1, 0.0f, -thickness/2); + glVertex3f(0.0f, t, thickness/2); + glVertex3f(0.0f, t, -thickness/2); + + glVertex3f(0.0f, t, thickness/2); + glVertex3f( p1, 0.0f, -thickness/2); + glVertex3f( p1, 0.0f, thickness/2); + glVertex3f(0.0f, t, -thickness/2); + + + glVertex3f( p1, 0.0f, -thickness/2); + glVertex3f(0.0f, -p1, -thickness/2); + glVertex3f( -p1, 0.0f, -thickness/2); + glVertex3f(0.0f, t, -thickness/2); + glEnd(); + glPopMatrix(); + } + +} \ No newline at end of file diff --git a/legacy/hexapod_gl/main.c b/legacy/hexapod_gl/main.c new file mode 100755 index 0000000..9eb628a --- /dev/null +++ b/legacy/hexapod_gl/main.c @@ -0,0 +1,79 @@ +// stolen from NeHe opengl tutorial, thanks! :) + +#include // Header File For The OpenGL32 Library +#include // Header File For The GLu32 Library +#include // Header File For The GLut Library + +#include "hexapod.c" + +#define kWindowWidth 500 +#define kWindowHeight 500 + +GLvoid InitGL(GLvoid); +GLvoid DrawGLScene(GLvoid); +GLvoid ReSizeGLScene(int Width, int Height); + +int main(int argc, char** argv) +{ + load_calibration("/etc/calibration.bin"); + glutInit(&argc, argv); + glutInitDisplayMode (GLUT_DOUBLE | GLUT_RGB | GLUT_DEPTH); + glutInitWindowSize (kWindowWidth, kWindowHeight); + glutInitWindowPosition (100, 100); + glutCreateWindow (argv[0]); + + InitGL(); + + glutReshapeFunc(ReSizeGLScene); + glutDisplayFunc(DrawGLScene); + glutIdleFunc(DrawGLScene); + + glutMainLoop(); + + return 0; +} + +GLvoid ReSizeGLScene(GLsizei width, GLsizei height) // Resize And Initialize The GL Window +{ + if (height==0) // Prevent A Divide By Zero By + { + height=1; // Making Height Equal One + } + + glViewport(0, 0, width, height); // Reset The Current Viewport + glMatrixMode(GL_PROJECTION); // Select The Projection Matrix + glLoadIdentity(); // Reset The Projection Matrix + + // Calculate The Aspect Ratio Of The Window +// gluPerspective(45.0f,(GLfloat)width/(GLfloat)height,0.1f,100.0f); + + glMatrixMode(GL_MODELVIEW); // Select The Modelview Matrix + glLoadIdentity(); // Reset The Modelview Matrix +} + +GLvoid InitGL(GLvoid) // All Setup For OpenGL Goes Here +{ +// glEnable(GL_DEPTH_TEST); // Enables Depth Testing + glShadeModel(GL_SMOOTH); // Enables Smooth Shading + glDisable(GL_LIGHTING); + + glColor3f(1.0f,1.0f,1.0f); + glClearColor(0.0f, 0.0f, 0.0f, 0.0f); // Black Background + glClearDepth(1.0f); // Depth Buffer Setup + glDepthFunc(GL_LEQUAL); // The Type Of Depth Test To Do + glHint(GL_PERSPECTIVE_CORRECTION_HINT, GL_NICEST); // Really Nice Perspective Calculations + glViewport(0,0,500,500); +} + + +GLvoid DrawGLScene(GLvoid) // Here's Where We Do All The Drawing +{ + glClearColor(0.0f,0.0f,0.0f,1.0f); + glClear(GL_COLOR_BUFFER_BIT | GL_DEPTH_BUFFER_BIT); // Clear The Screen And The Depth Buffer + glLoadIdentity(); +// glTranslatef(0.0f,0.0f,0.2f); + draw_hexapod(); + glutSwapBuffers(); + usleep(1000); +} + diff --git a/legacy/kernel/board-sam9260ek.c b/legacy/kernel/board-sam9260ek.c new file mode 100755 index 0000000..6d9d708 --- /dev/null +++ b/legacy/kernel/board-sam9260ek.c @@ -0,0 +1,374 @@ +/* + * linux/arch/arm/mach-at91/board-sam9260ek.c + * + * Copyright (C) 2005 SAN People + * Copyright (C) 2006 Atmel + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + */ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + + +#include +#include +#include + +#include +#include +#include + +#include +#include +#include +#include +#include + +#include "sam9_smc.h" +#include "generic.h" + + +static void __init ek_map_io(void) +{ + /* Initialize processor: 18.432 MHz crystal */ + at91sam9260_initialize(18432000); + + /* DGBU on ttyS0. (Rx & Tx only) */ + at91_register_uart(0, 0, 0); + + /* USART0 on ttyS1. (Rx, Tx, CTS, RTS, DTR, DSR, DCD, RI) */ + at91_register_uart(AT91SAM9260_ID_US0, 1, 0); + + /* USART2 on ttyS2. (Rx, Tx, RTS, CTS) */ + at91_register_uart(AT91SAM9260_ID_US2, 2, ATMEL_UART_CTS | ATMEL_UART_RTS); + + /* set serial console to ttyS0 (ie, DBGU) */ + at91_set_serial_console(0); +} + +static void __init ek_init_irq(void) +{ + at91sam9260_init_interrupts(NULL); +} + + +/* + * USB Host port + */ +static struct at91_usbh_data __initdata ek_usbh_data = { + .ports = 2, +}; + +/* + * USB Device port + */ +static struct at91_udc_data __initdata ek_udc_data = { + .vbus_pin = AT91_PIN_PC5, + .pullup_pin = 0, /* pull-up driven by UDC */ +}; + +/* + * Compact Flash (via Expansion Connector) + */ +static struct at91_cf_data __initdata ek_cf_data = { + // .irq_pin = ... user defined + // .det_pin = ... user defined + // .vcc_pin = ... user defined + // .rst_pin = ... user defined + .chipselect = 4, +}; + +/* + * Audio + */ +static struct at73c213_board_info at73c213_data = { + .ssc_id = 0, + .shortname = "AT91SAM9260-EK external DAC", +}; + +#if defined(CONFIG_SND_AT73C213) || defined(CONFIG_SND_AT73C213_MODULE) +static void __init at73c213_set_clk(struct at73c213_board_info *info) +{ + struct clk *pck0; + struct clk *plla; + + pck0 = clk_get(NULL, "pck0"); + plla = clk_get(NULL, "plla"); + + /* AT73C213 MCK Clock */ + at91_set_B_periph(AT91_PIN_PC1, 0); /* PCK0 */ + + clk_set_parent(pck0, plla); + clk_put(plla); + + info->dac_clk = pck0; +} +#else +static void __init at73c213_set_clk(struct at73c213_board_info *info) {} +#endif + +/* + * SPI devices. + */ +static struct spi_board_info ek_spi_devices[] = { +#if !defined(CONFIG_MMC_AT91) + { /* DataFlash chip */ + .modalias = "mtd_dataflash", + .chip_select = 1, + .max_speed_hz = 15 * 1000 * 1000, + .bus_num = 0, + }, +#if defined(CONFIG_MTD_AT91_DATAFLASH_CARD) + { /* DataFlash card */ + .modalias = "mtd_dataflash", + .chip_select = 0, + .max_speed_hz = 15 * 1000 * 1000, + .bus_num = 0, + }, +#endif +#endif +#if defined(CONFIG_SND_AT73C213) || defined(CONFIG_SND_AT73C213_MODULE) + { /* AT73C213 DAC */ + .modalias = "at73c213", + .chip_select = 0, + .max_speed_hz = 10 * 1000 * 1000, + .bus_num = 1, + .mode = SPI_MODE_1, + .platform_data = &at73c213_data, + }, +#endif + { + .modalias = "spidev", + .chip_select = 0, + .bus_num = 1, + .max_speed_hz = 15 * 1000 * 1000 + } +}; + + +/* + * MACB Ethernet device + */ +static struct at91_eth_data __initdata ek_macb_data = { + .phy_irq_pin = AT91_PIN_PA7, + .is_rmii = 1, +}; + + +/* + * NAND flash + */ +static struct mtd_partition __initdata ek_nand_partition[] = { + { + .name = "Bootstrap", + .offset = 0, + .size = SZ_4M, + }, + { + .name = "Partition 1", + .offset = MTDPART_OFS_NXTBLK, + .size = 60 * SZ_1M, + }, + { + .name = "Partition 2", + .offset = MTDPART_OFS_NXTBLK, + .size = MTDPART_SIZ_FULL, + }, +}; + +static struct mtd_partition * __init nand_partitions(int size, int *num_partitions) +{ + *num_partitions = ARRAY_SIZE(ek_nand_partition); + return ek_nand_partition; +} + +static struct atmel_nand_data __initdata ek_nand_data = { + .ale = 21, + .cle = 22, +// .det_pin = ... not connected + .rdy_pin = AT91_PIN_PC13, + .enable_pin = AT91_PIN_PC14, + .partition_info = nand_partitions, +#if defined(CONFIG_MTD_NAND_ATMEL_BUSWIDTH_16) + .bus_width_16 = 1, +#else + .bus_width_16 = 0, +#endif +}; + +static struct sam9_smc_config __initdata ek_nand_smc_config = { + .ncs_read_setup = 0, + .nrd_setup = 1, + .ncs_write_setup = 0, + .nwe_setup = 1, + + .ncs_read_pulse = 3, + .nrd_pulse = 3, + .ncs_write_pulse = 3, + .nwe_pulse = 3, + + .read_cycle = 5, + .write_cycle = 5, + + .mode = AT91_SMC_READMODE | AT91_SMC_WRITEMODE | AT91_SMC_EXNWMODE_DISABLE, + .tdf_cycles = 2, +}; + +static void __init ek_add_device_nand(void) +{ + /* setup bus-width (8 or 16) */ + if (ek_nand_data.bus_width_16) + ek_nand_smc_config.mode |= AT91_SMC_DBW_16; + else + ek_nand_smc_config.mode |= AT91_SMC_DBW_8; + + /* configure chip-select 3 (NAND) */ + sam9_smc_configure(3, &ek_nand_smc_config); + + at91_add_device_nand(&ek_nand_data); +} + + +/* + * MCI (SD/MMC) + */ +static struct at91_mmc_data __initdata ek_mmc_data = { + .slot_b = 1, + .wire4 = 1, +// .det_pin = ... not connected +// .wp_pin = ... not connected +// .vcc_pin = ... not connected +}; + + +/* + * LEDs + */ +static struct gpio_led ek_leds[] = { + { /* "bottom" led, green, userled1 to be defined */ + .name = "ds5", + .gpio = AT91_PIN_PA6, + .active_low = 1, + .default_trigger = "none", + }, + { /* "power" led, yellow */ + .name = "ds1", + .gpio = AT91_PIN_PA9, + .default_trigger = "heartbeat", + } +}; + + +/* + * GPIO Buttons + */ +#if defined(CONFIG_KEYBOARD_GPIO) || defined(CONFIG_KEYBOARD_GPIO_MODULE) +static struct gpio_keys_button ek_buttons[] = { + { + .gpio = AT91_PIN_PA30, + .code = BTN_3, + .desc = "Button 3", + .active_low = 1, + .wakeup = 1, + }, + { + .gpio = AT91_PIN_PA31, + .code = BTN_4, + .desc = "Button 4", + .active_low = 1, + .wakeup = 1, + } +}; + +static struct gpio_keys_platform_data ek_button_data = { + .buttons = ek_buttons, + .nbuttons = ARRAY_SIZE(ek_buttons), +}; + +static struct platform_device ek_button_device = { + .name = "gpio-keys", + .id = -1, + .num_resources = 0, + .dev = { + .platform_data = &ek_button_data, + } +}; + +static void __init ek_add_device_buttons(void) +{ + at91_set_gpio_input(AT91_PIN_PA30, 1); /* btn3 */ + at91_set_deglitch(AT91_PIN_PA30, 1); + at91_set_gpio_input(AT91_PIN_PA31, 1); /* btn4 */ + at91_set_deglitch(AT91_PIN_PA31, 1); + + platform_device_register(&ek_button_device); +} +#else +static void __init ek_add_device_buttons(void) {} +#endif + + +static void __init ek_board_init(void) +{ + /* Serial */ + at91_add_device_serial(); + /* USB Host */ + at91_add_device_usbh(&ek_usbh_data); + /* USB Device */ + at91_add_device_udc(&ek_udc_data); + /* SPI */ + at91_add_device_spi(ek_spi_devices, ARRAY_SIZE(ek_spi_devices)); + /* NAND */ + ek_add_device_nand(); + /* Ethernet */ + at91_add_device_eth(&ek_macb_data); + /* MMC */ + at91_add_device_mmc(0, &ek_mmc_data); + /* I2C */ + at91_add_device_i2c(NULL, 0); + /* Compact Flash */ + at91_add_device_cf(&ek_cf_data); + /* SSC (to AT73C213) */ + at73c213_set_clk(&at73c213_data); + at91_add_device_ssc(AT91SAM9260_ID_SSC, ATMEL_SSC_TX); + /* LEDs */ + at91_gpio_leds(ek_leds, ARRAY_SIZE(ek_leds)); + /* Push Buttons */ + ek_add_device_buttons(); + + /* shutdown controller, wakeup button (5 msec low) */ + at91_sys_write(AT91_SHDW_MR, AT91_SHDW_CPTWK0_(10) | AT91_SHDW_WKMODE0_LOW + | AT91_SHDW_RTTWKEN); +} + +MACHINE_START(AT91SAM9260EK, "Atmel AT91SAM9260-EK") + /* Maintainer: Atmel */ + .phys_io = AT91_BASE_SYS, + .io_pg_offst = (AT91_VA_BASE_SYS >> 18) & 0xfffc, + .boot_params = AT91_SDRAM_BASE + 0x100, + .timer = &at91sam926x_timer, + .map_io = ek_map_io, + .init_irq = ek_init_irq, + .init_machine = ek_board_init, +MACHINE_END diff --git a/legacy/kernel/config b/legacy/kernel/config new file mode 100755 index 0000000..c9bd323 --- /dev/null +++ b/legacy/kernel/config @@ -0,0 +1,1287 @@ +# +# Automatically generated make config: don't edit +# Linux kernel version: 2.6.31 +# Sat Nov 28 10:58:28 2009 +# +CONFIG_ARM=y +CONFIG_SYS_SUPPORTS_APM_EMULATION=y +CONFIG_GENERIC_GPIO=y +CONFIG_GENERIC_TIME=y +CONFIG_GENERIC_CLOCKEVENTS=y +CONFIG_MMU=y +CONFIG_GENERIC_HARDIRQS=y +CONFIG_STACKTRACE_SUPPORT=y +CONFIG_HAVE_LATENCYTOP_SUPPORT=y +CONFIG_LOCKDEP_SUPPORT=y +CONFIG_TRACE_IRQFLAGS_SUPPORT=y +CONFIG_HARDIRQS_SW_RESEND=y +CONFIG_GENERIC_IRQ_PROBE=y +CONFIG_RWSEM_GENERIC_SPINLOCK=y +CONFIG_GENERIC_HWEIGHT=y +CONFIG_GENERIC_CALIBRATE_DELAY=y +CONFIG_GENERIC_HARDIRQS_NO__DO_IRQ=y +CONFIG_VECTORS_BASE=0xffff0000 +CONFIG_DEFCONFIG_LIST="/lib/modules/$UNAME_RELEASE/.config" +CONFIG_CONSTRUCTORS=y + +# +# General setup +# +CONFIG_EXPERIMENTAL=y +CONFIG_BROKEN_ON_SMP=y +CONFIG_INIT_ENV_ARG_LIMIT=32 +CONFIG_LOCALVERSION="" +# CONFIG_LOCALVERSION_AUTO is not set +# CONFIG_SWAP is not set +CONFIG_SYSVIPC=y +CONFIG_SYSVIPC_SYSCTL=y +# CONFIG_POSIX_MQUEUE is not set +# CONFIG_BSD_PROCESS_ACCT is not set +# CONFIG_TASKSTATS is not set +# CONFIG_AUDIT is not set + +# +# RCU Subsystem +# +CONFIG_CLASSIC_RCU=y +# CONFIG_TREE_RCU is not set +# CONFIG_PREEMPT_RCU is not set +# CONFIG_TREE_RCU_TRACE is not set +# CONFIG_PREEMPT_RCU_TRACE is not set +# CONFIG_IKCONFIG is not set +CONFIG_LOG_BUF_SHIFT=14 +# CONFIG_GROUP_SCHED is not set +# CONFIG_CGROUPS is not set +CONFIG_SYSFS_DEPRECATED=y +CONFIG_SYSFS_DEPRECATED_V2=y +# CONFIG_RELAY is not set +CONFIG_NAMESPACES=y +# CONFIG_UTS_NS is not set +# CONFIG_IPC_NS is not set +# CONFIG_USER_NS is not set +# CONFIG_PID_NS is not set +# CONFIG_NET_NS is not set +CONFIG_BLK_DEV_INITRD=y +CONFIG_INITRAMFS_SOURCE="" +CONFIG_RD_GZIP=y +CONFIG_RD_BZIP2=y +CONFIG_RD_LZMA=y +CONFIG_CC_OPTIMIZE_FOR_SIZE=y +CONFIG_SYSCTL=y +CONFIG_ANON_INODES=y +# CONFIG_EMBEDDED is not set +CONFIG_UID16=y +CONFIG_SYSCTL_SYSCALL=y +CONFIG_KALLSYMS=y +# CONFIG_KALLSYMS_ALL is not set +# CONFIG_KALLSYMS_EXTRA_PASS is not set +CONFIG_HOTPLUG=y +CONFIG_PRINTK=y +CONFIG_BUG=y +CONFIG_ELF_CORE=y +CONFIG_BASE_FULL=y +CONFIG_FUTEX=y +CONFIG_EPOLL=y +CONFIG_SIGNALFD=y +CONFIG_TIMERFD=y +CONFIG_EVENTFD=y +CONFIG_SHMEM=y +CONFIG_AIO=y + +# +# Performance Counters +# +CONFIG_VM_EVENT_COUNTERS=y +# CONFIG_STRIP_ASM_SYMS is not set +CONFIG_COMPAT_BRK=y +CONFIG_SLAB=y +# CONFIG_SLUB is not set +# CONFIG_SLOB is not set +# CONFIG_PROFILING is not set +# CONFIG_MARKERS is not set +CONFIG_HAVE_OPROFILE=y +CONFIG_HAVE_KPROBES=y +CONFIG_HAVE_KRETPROBES=y +CONFIG_HAVE_CLK=y + +# +# GCOV-based kernel profiling +# +# CONFIG_SLOW_WORK is not set +CONFIG_HAVE_GENERIC_DMA_COHERENT=y +CONFIG_SLABINFO=y +CONFIG_RT_MUTEXES=y +CONFIG_BASE_SMALL=0 +# CONFIG_MODULES is not set +CONFIG_BLOCK=y +CONFIG_LBDAF=y +# CONFIG_BLK_DEV_BSG is not set +# CONFIG_BLK_DEV_INTEGRITY is not set + +# +# IO Schedulers +# +CONFIG_IOSCHED_NOOP=y +CONFIG_IOSCHED_AS=y +# CONFIG_IOSCHED_DEADLINE is not set +# CONFIG_IOSCHED_CFQ is not set +CONFIG_DEFAULT_AS=y +# CONFIG_DEFAULT_DEADLINE is not set +# CONFIG_DEFAULT_CFQ is not set +# CONFIG_DEFAULT_NOOP is not set +CONFIG_DEFAULT_IOSCHED="anticipatory" +# CONFIG_FREEZER is not set + +# +# System Type +# +# CONFIG_ARCH_AAEC2000 is not set +# CONFIG_ARCH_INTEGRATOR is not set +# CONFIG_ARCH_REALVIEW is not set +# CONFIG_ARCH_VERSATILE is not set +CONFIG_ARCH_AT91=y +# CONFIG_ARCH_CLPS711X is not set +# CONFIG_ARCH_GEMINI is not set +# CONFIG_ARCH_EBSA110 is not set +# CONFIG_ARCH_EP93XX is not set +# CONFIG_ARCH_FOOTBRIDGE is not set +# CONFIG_ARCH_MXC is not set +# CONFIG_ARCH_STMP3XXX is not set +# CONFIG_ARCH_NETX is not set +# CONFIG_ARCH_H720X is not set +# CONFIG_ARCH_IOP13XX is not set +# CONFIG_ARCH_IOP32X is not set +# CONFIG_ARCH_IOP33X is not set +# CONFIG_ARCH_IXP23XX is not set +# CONFIG_ARCH_IXP2000 is not set +# CONFIG_ARCH_IXP4XX is not set +# CONFIG_ARCH_L7200 is not set +# CONFIG_ARCH_KIRKWOOD is not set +# CONFIG_ARCH_LOKI is not set +# CONFIG_ARCH_MV78XX0 is not set +# CONFIG_ARCH_ORION5X is not set +# CONFIG_ARCH_MMP is not set +# CONFIG_ARCH_KS8695 is not set +# CONFIG_ARCH_NS9XXX is not set +# CONFIG_ARCH_W90X900 is not set +# CONFIG_ARCH_PNX4008 is not set +# CONFIG_ARCH_PXA is not set +# CONFIG_ARCH_MSM is not set +# CONFIG_ARCH_RPC is not set +# CONFIG_ARCH_SA1100 is not set +# CONFIG_ARCH_S3C2410 is not set +# CONFIG_ARCH_S3C64XX is not set +# CONFIG_ARCH_SHARK is not set +# CONFIG_ARCH_LH7A40X is not set +# CONFIG_ARCH_U300 is not set +# CONFIG_ARCH_DAVINCI is not set +# CONFIG_ARCH_OMAP is not set + +# +# Atmel AT91 System-on-Chip +# +# CONFIG_ARCH_AT91RM9200 is not set +CONFIG_ARCH_AT91SAM9260=y +# CONFIG_ARCH_AT91SAM9261 is not set +# CONFIG_ARCH_AT91SAM9263 is not set +# CONFIG_ARCH_AT91SAM9RL is not set +# CONFIG_ARCH_AT91SAM9G20 is not set +# CONFIG_ARCH_AT91CAP9 is not set +# CONFIG_ARCH_AT572D940HF is not set +# CONFIG_ARCH_AT91X40 is not set +CONFIG_AT91_PMC_UNIT=y + +# +# AT91SAM9260 Variants +# +# CONFIG_ARCH_AT91SAM9260_SAM9XE is not set + +# +# AT91SAM9260 / AT91SAM9XE Board Type +# +CONFIG_MACH_AT91SAM9260EK=y +# CONFIG_MACH_CAM60 is not set +# CONFIG_MACH_SAM9_L9260 is not set +# CONFIG_MACH_AFEB9260 is not set +# CONFIG_MACH_USB_A9260 is not set +# CONFIG_MACH_QIL_A9260 is not set +# CONFIG_MACH_SBC35_A9260 is not set + +# +# AT91 Board Options +# +# CONFIG_MTD_AT91_DATAFLASH_CARD is not set +# CONFIG_MTD_NAND_ATMEL_BUSWIDTH_16 is not set + +# +# AT91 Feature Selections +# +CONFIG_AT91_PROGRAMMABLE_CLOCKS=y +CONFIG_AT91_TIMER_HZ=100 +CONFIG_AT91_EARLY_DBGU=y +# CONFIG_AT91_EARLY_USART0 is not set +# CONFIG_AT91_EARLY_USART1 is not set +# CONFIG_AT91_EARLY_USART2 is not set +# CONFIG_AT91_EARLY_USART3 is not set +# CONFIG_AT91_EARLY_USART4 is not set +# CONFIG_AT91_EARLY_USART5 is not set + +# +# Processor Type +# +CONFIG_CPU_32=y +CONFIG_CPU_ARM926T=y +CONFIG_CPU_32v5=y +CONFIG_CPU_ABRT_EV5TJ=y +CONFIG_CPU_PABRT_NOIFAR=y +CONFIG_CPU_CACHE_VIVT=y +CONFIG_CPU_COPY_V4WB=y +CONFIG_CPU_TLB_V4WBI=y +CONFIG_CPU_CP15=y +CONFIG_CPU_CP15_MMU=y + +# +# Processor Features +# +# CONFIG_ARM_THUMB is not set +# CONFIG_CPU_ICACHE_DISABLE is not set +# CONFIG_CPU_DCACHE_DISABLE is not set +# CONFIG_CPU_DCACHE_WRITETHROUGH is not set +# CONFIG_CPU_CACHE_ROUND_ROBIN is not set + +# +# Bus support +# +# CONFIG_PCI_SYSCALL is not set +# CONFIG_ARCH_SUPPORTS_MSI is not set +# CONFIG_PCCARD is not set + +# +# Kernel Features +# +# CONFIG_NO_HZ is not set +# CONFIG_HIGH_RES_TIMERS is not set +CONFIG_GENERIC_CLOCKEVENTS_BUILD=y +CONFIG_VMSPLIT_3G=y +# CONFIG_VMSPLIT_2G is not set +# CONFIG_VMSPLIT_1G is not set +CONFIG_PAGE_OFFSET=0xC0000000 +# CONFIG_PREEMPT is not set +CONFIG_HZ=100 +CONFIG_AEABI=y +CONFIG_OABI_COMPAT=y +# CONFIG_ARCH_SPARSEMEM_DEFAULT is not set +# CONFIG_ARCH_SELECT_MEMORY_MODEL is not set +# CONFIG_HIGHMEM is not set +CONFIG_SELECT_MEMORY_MODEL=y +CONFIG_FLATMEM_MANUAL=y +# CONFIG_DISCONTIGMEM_MANUAL is not set +# CONFIG_SPARSEMEM_MANUAL is not set +CONFIG_FLATMEM=y +CONFIG_FLAT_NODE_MEM_MAP=y +CONFIG_PAGEFLAGS_EXTENDED=y +CONFIG_SPLIT_PTLOCK_CPUS=4096 +# CONFIG_PHYS_ADDR_T_64BIT is not set +CONFIG_ZONE_DMA_FLAG=0 +CONFIG_VIRT_TO_BUS=y +CONFIG_HAVE_MLOCK=y +CONFIG_HAVE_MLOCKED_PAGE_BIT=y +CONFIG_DEFAULT_MMAP_MIN_ADDR=4096 +# CONFIG_LEDS is not set +CONFIG_ALIGNMENT_TRAP=y +# CONFIG_UACCESS_WITH_MEMCPY is not set + +# +# Boot options +# +CONFIG_ZBOOT_ROM_TEXT=0x0 +CONFIG_ZBOOT_ROM_BSS=0x0 +CONFIG_CMDLINE="" +# CONFIG_XIP_KERNEL is not set +# CONFIG_KEXEC is not set + +# +# CPU Power Management +# +# CONFIG_CPU_IDLE is not set + +# +# Floating point emulation +# + +# +# At least one emulation must be selected +# +CONFIG_FPE_NWFPE=y +# CONFIG_FPE_NWFPE_XP is not set +# CONFIG_FPE_FASTFPE is not set +# CONFIG_VFP is not set + +# +# Userspace binary formats +# +CONFIG_BINFMT_ELF=y +# CONFIG_CORE_DUMP_DEFAULT_ELF_HEADERS is not set +CONFIG_HAVE_AOUT=y +# CONFIG_BINFMT_AOUT is not set +# CONFIG_BINFMT_MISC is not set + +# +# Power management options +# +# CONFIG_PM is not set +CONFIG_ARCH_SUSPEND_POSSIBLE=y +CONFIG_NET=y + +# +# Networking options +# +CONFIG_PACKET=y +# CONFIG_PACKET_MMAP is not set +CONFIG_UNIX=y +# CONFIG_NET_KEY is not set +CONFIG_INET=y +# CONFIG_IP_MULTICAST is not set +# CONFIG_IP_ADVANCED_ROUTER is not set +CONFIG_IP_FIB_HASH=y +CONFIG_IP_PNP=y +# CONFIG_IP_PNP_DHCP is not set +CONFIG_IP_PNP_BOOTP=y +# CONFIG_IP_PNP_RARP is not set +# CONFIG_NET_IPIP is not set +# CONFIG_NET_IPGRE is not set +# CONFIG_ARPD is not set +# CONFIG_SYN_COOKIES is not set +# CONFIG_INET_AH is not set +# CONFIG_INET_ESP is not set +# CONFIG_INET_IPCOMP is not set +# CONFIG_INET_XFRM_TUNNEL is not set +# CONFIG_INET_TUNNEL is not set +# CONFIG_INET_XFRM_MODE_TRANSPORT is not set +# CONFIG_INET_XFRM_MODE_TUNNEL is not set +# CONFIG_INET_XFRM_MODE_BEET is not set +# CONFIG_INET_LRO is not set +CONFIG_INET_DIAG=y +CONFIG_INET_TCP_DIAG=y +# CONFIG_TCP_CONG_ADVANCED is not set +CONFIG_TCP_CONG_CUBIC=y +CONFIG_DEFAULT_TCP_CONG="cubic" +# CONFIG_TCP_MD5SIG is not set +# CONFIG_IPV6 is not set +# CONFIG_NETWORK_SECMARK is not set +# CONFIG_NETFILTER is not set +# CONFIG_IP_DCCP is not set +# CONFIG_IP_SCTP is not set +# CONFIG_TIPC is not set +# CONFIG_ATM is not set +# CONFIG_BRIDGE is not set +# CONFIG_NET_DSA is not set +# CONFIG_VLAN_8021Q is not set +# CONFIG_DECNET is not set +# CONFIG_LLC2 is not set +# CONFIG_IPX is not set +# CONFIG_ATALK is not set +# CONFIG_X25 is not set +# CONFIG_LAPB is not set +# CONFIG_ECONET is not set +# CONFIG_WAN_ROUTER is not set +# CONFIG_PHONET is not set +# CONFIG_IEEE802154 is not set +# CONFIG_NET_SCHED is not set +# CONFIG_DCB is not set + +# +# Network testing +# +# CONFIG_NET_PKTGEN is not set +# CONFIG_HAMRADIO is not set +# CONFIG_CAN is not set +# CONFIG_IRDA is not set +# CONFIG_BT is not set +# CONFIG_AF_RXRPC is not set +CONFIG_WIRELESS=y +CONFIG_CFG80211=y +# CONFIG_CFG80211_REG_DEBUG is not set +# CONFIG_WIRELESS_OLD_REGULATORY is not set +CONFIG_WIRELESS_EXT=y +CONFIG_WIRELESS_EXT_SYSFS=y +# CONFIG_LIB80211 is not set +CONFIG_MAC80211=y +CONFIG_MAC80211_DEFAULT_PS=y +CONFIG_MAC80211_DEFAULT_PS_VALUE=1 + +# +# Rate control algorithm selection +# +CONFIG_MAC80211_RC_MINSTREL=y +# CONFIG_MAC80211_RC_DEFAULT_PID is not set +CONFIG_MAC80211_RC_DEFAULT_MINSTREL=y +CONFIG_MAC80211_RC_DEFAULT="minstrel" +# CONFIG_MAC80211_LEDS is not set +# CONFIG_MAC80211_DEBUG_MENU is not set +# CONFIG_WIMAX is not set +# CONFIG_RFKILL is not set +# CONFIG_NET_9P is not set + +# +# Device Drivers +# + +# +# Generic Driver Options +# +CONFIG_UEVENT_HELPER_PATH="/sbin/hotplug" +CONFIG_STANDALONE=y +# CONFIG_PREVENT_FIRMWARE_BUILD is not set +CONFIG_FW_LOADER=y +CONFIG_FIRMWARE_IN_KERNEL=y +CONFIG_EXTRA_FIRMWARE="rt73.bin" +CONFIG_EXTRA_FIRMWARE_DIR="firmware" +# CONFIG_DEBUG_DRIVER is not set +# CONFIG_DEBUG_DEVRES is not set +# CONFIG_SYS_HYPERVISOR is not set +# CONFIG_CONNECTOR is not set +CONFIG_MTD=y +# CONFIG_MTD_DEBUG is not set +CONFIG_MTD_CONCAT=y +CONFIG_MTD_PARTITIONS=y +# CONFIG_MTD_REDBOOT_PARTS is not set +CONFIG_MTD_CMDLINE_PARTS=y +# CONFIG_MTD_AFS_PARTS is not set +# CONFIG_MTD_AR7_PARTS is not set + +# +# User Modules And Translation Layers +# +CONFIG_MTD_CHAR=y +CONFIG_MTD_BLKDEVS=y +CONFIG_MTD_BLOCK=y +# CONFIG_FTL is not set +# CONFIG_NFTL is not set +# CONFIG_INFTL is not set +# CONFIG_RFD_FTL is not set +# CONFIG_SSFDC is not set +# CONFIG_MTD_OOPS is not set + +# +# RAM/ROM/Flash chip drivers +# +# CONFIG_MTD_CFI is not set +# CONFIG_MTD_JEDECPROBE is not set +CONFIG_MTD_MAP_BANK_WIDTH_1=y +CONFIG_MTD_MAP_BANK_WIDTH_2=y +CONFIG_MTD_MAP_BANK_WIDTH_4=y +# CONFIG_MTD_MAP_BANK_WIDTH_8 is not set +# CONFIG_MTD_MAP_BANK_WIDTH_16 is not set +# CONFIG_MTD_MAP_BANK_WIDTH_32 is not set +CONFIG_MTD_CFI_I1=y +CONFIG_MTD_CFI_I2=y +# CONFIG_MTD_CFI_I4 is not set +# CONFIG_MTD_CFI_I8 is not set +# CONFIG_MTD_RAM is not set +# CONFIG_MTD_ROM is not set +# CONFIG_MTD_ABSENT is not set + +# +# Mapping drivers for chip access +# +# CONFIG_MTD_COMPLEX_MAPPINGS is not set +# CONFIG_MTD_PLATRAM is not set + +# +# Self-contained MTD device drivers +# +CONFIG_MTD_DATAFLASH=y +# CONFIG_MTD_DATAFLASH_WRITE_VERIFY is not set +# CONFIG_MTD_DATAFLASH_OTP is not set +# CONFIG_MTD_M25P80 is not set +# CONFIG_MTD_SLRAM is not set +# CONFIG_MTD_PHRAM is not set +# CONFIG_MTD_MTDRAM is not set +# CONFIG_MTD_BLOCK2MTD is not set + +# +# Disk-On-Chip Device Drivers +# +# CONFIG_MTD_DOC2000 is not set +# CONFIG_MTD_DOC2001 is not set +# CONFIG_MTD_DOC2001PLUS is not set +CONFIG_MTD_NAND=y +# CONFIG_MTD_NAND_VERIFY_WRITE is not set +# CONFIG_MTD_NAND_ECC_SMC is not set +# CONFIG_MTD_NAND_MUSEUM_IDS is not set +# CONFIG_MTD_NAND_GPIO is not set +CONFIG_MTD_NAND_IDS=y +# CONFIG_MTD_NAND_DISKONCHIP is not set +# CONFIG_MTD_NAND_ATMEL is not set +# CONFIG_MTD_NAND_NANDSIM is not set +# CONFIG_MTD_NAND_PLATFORM is not set +# CONFIG_MTD_ALAUDA is not set +# CONFIG_MTD_ONENAND is not set + +# +# LPDDR flash memory drivers +# +# CONFIG_MTD_LPDDR is not set + +# +# UBI - Unsorted block images +# +# CONFIG_MTD_UBI is not set +# CONFIG_PARPORT is not set +CONFIG_BLK_DEV=y +# CONFIG_BLK_DEV_COW_COMMON is not set +CONFIG_BLK_DEV_LOOP=y +# CONFIG_BLK_DEV_CRYPTOLOOP is not set +# CONFIG_BLK_DEV_NBD is not set +# CONFIG_BLK_DEV_UB is not set +CONFIG_BLK_DEV_RAM=y +CONFIG_BLK_DEV_RAM_COUNT=16 +CONFIG_BLK_DEV_RAM_SIZE=8192 +# CONFIG_BLK_DEV_XIP is not set +# CONFIG_CDROM_PKTCDVD is not set +# CONFIG_ATA_OVER_ETH is not set +# CONFIG_MG_DISK is not set +CONFIG_MISC_DEVICES=y +# CONFIG_ATMEL_TCLIB is not set +# CONFIG_ATMEL_SSC is not set +# CONFIG_ENCLOSURE_SERVICES is not set +# CONFIG_C2PORT is not set + +# +# EEPROM support +# +# CONFIG_EEPROM_AT25 is not set +# CONFIG_EEPROM_93CX6 is not set +CONFIG_HAVE_IDE=y +# CONFIG_IDE is not set + +# +# SCSI device support +# +# CONFIG_RAID_ATTRS is not set +CONFIG_SCSI=y +CONFIG_SCSI_DMA=y +# CONFIG_SCSI_TGT is not set +# CONFIG_SCSI_NETLINK is not set +CONFIG_SCSI_PROC_FS=y + +# +# SCSI support type (disk, tape, CD-ROM) +# +CONFIG_BLK_DEV_SD=y +# CONFIG_CHR_DEV_ST is not set +# CONFIG_CHR_DEV_OSST is not set +# CONFIG_BLK_DEV_SR is not set +# CONFIG_CHR_DEV_SG is not set +# CONFIG_CHR_DEV_SCH is not set +CONFIG_SCSI_MULTI_LUN=y +# CONFIG_SCSI_CONSTANTS is not set +# CONFIG_SCSI_LOGGING is not set +# CONFIG_SCSI_SCAN_ASYNC is not set + +# +# SCSI Transports +# +# CONFIG_SCSI_SPI_ATTRS is not set +# CONFIG_SCSI_FC_ATTRS is not set +# CONFIG_SCSI_ISCSI_ATTRS is not set +# CONFIG_SCSI_SAS_LIBSAS is not set +# CONFIG_SCSI_SRP_ATTRS is not set +CONFIG_SCSI_LOWLEVEL=y +# CONFIG_ISCSI_TCP is not set +# CONFIG_LIBFC is not set +# CONFIG_LIBFCOE is not set +# CONFIG_SCSI_DEBUG is not set +# CONFIG_SCSI_DH is not set +# CONFIG_SCSI_OSD_INITIATOR is not set +# CONFIG_ATA is not set +# CONFIG_MD is not set +CONFIG_NETDEVICES=y +# CONFIG_DUMMY is not set +# CONFIG_BONDING is not set +# CONFIG_MACVLAN is not set +# CONFIG_EQUALIZER is not set +# CONFIG_TUN is not set +# CONFIG_VETH is not set +CONFIG_PHYLIB=y + +# +# MII PHY device drivers +# +# CONFIG_MARVELL_PHY is not set +CONFIG_DAVICOM_PHY=y +# CONFIG_QSEMI_PHY is not set +# CONFIG_LXT_PHY is not set +# CONFIG_CICADA_PHY is not set +# CONFIG_VITESSE_PHY is not set +# CONFIG_SMSC_PHY is not set +# CONFIG_BROADCOM_PHY is not set +# CONFIG_ICPLUS_PHY is not set +# CONFIG_REALTEK_PHY is not set +# CONFIG_NATIONAL_PHY is not set +# CONFIG_STE10XP is not set +# CONFIG_LSI_ET1011C_PHY is not set +# CONFIG_FIXED_PHY is not set +# CONFIG_MDIO_BITBANG is not set +CONFIG_NET_ETHERNET=y +CONFIG_MII=y +CONFIG_MACB=y +# CONFIG_AX88796 is not set +# CONFIG_SMC91X is not set +# CONFIG_DM9000 is not set +# CONFIG_ENC28J60 is not set +# CONFIG_ETHOC is not set +# CONFIG_SMC911X is not set +# CONFIG_SMSC911X is not set +# CONFIG_DNET is not set +# CONFIG_IBM_NEW_EMAC_ZMII is not set +# CONFIG_IBM_NEW_EMAC_RGMII is not set +# CONFIG_IBM_NEW_EMAC_TAH is not set +# CONFIG_IBM_NEW_EMAC_EMAC4 is not set +# CONFIG_IBM_NEW_EMAC_NO_FLOW_CTRL is not set +# CONFIG_IBM_NEW_EMAC_MAL_CLR_ICINTSTAT is not set +# CONFIG_IBM_NEW_EMAC_MAL_COMMON_ERR is not set +# CONFIG_B44 is not set +# CONFIG_KS8842 is not set +# CONFIG_KS8851 is not set +# CONFIG_NETDEV_1000 is not set +# CONFIG_NETDEV_10000 is not set + +# +# Wireless LAN +# +# CONFIG_WLAN_PRE80211 is not set +CONFIG_WLAN_80211=y +# CONFIG_LIBERTAS is not set +# CONFIG_LIBERTAS_THINFIRM is not set +# CONFIG_AT76C50X_USB is not set +# CONFIG_USB_ZD1201 is not set +# CONFIG_USB_NET_RNDIS_WLAN is not set +# CONFIG_RTL8187 is not set +# CONFIG_MAC80211_HWSIM is not set +# CONFIG_P54_COMMON is not set +# CONFIG_AR9170_USB is not set +# CONFIG_HOSTAP is not set +# CONFIG_B43 is not set +# CONFIG_B43LEGACY is not set +# CONFIG_ZD1211RW is not set +CONFIG_RT2X00=y +# CONFIG_RT2500USB is not set +CONFIG_RT73USB=y +# CONFIG_RT2800USB is not set +CONFIG_RT2X00_LIB_USB=y +CONFIG_RT2X00_LIB=y +CONFIG_RT2X00_LIB_FIRMWARE=y +CONFIG_RT2X00_LIB_CRYPTO=y +CONFIG_RT2X00_LIB_RFKILL=y +# CONFIG_RT2X00_DEBUG is not set +# CONFIG_WL12XX is not set + +# +# Enable WiMAX (Networking options) to see the WiMAX drivers +# + +# +# USB Network Adapters +# +# CONFIG_USB_CATC is not set +# CONFIG_USB_KAWETH is not set +# CONFIG_USB_PEGASUS is not set +# CONFIG_USB_RTL8150 is not set +# CONFIG_USB_USBNET is not set +# CONFIG_WAN is not set +# CONFIG_PPP is not set +# CONFIG_SLIP is not set +# CONFIG_NETCONSOLE is not set +# CONFIG_NETPOLL is not set +# CONFIG_NET_POLL_CONTROLLER is not set +# CONFIG_ISDN is not set + +# +# Input device support +# +CONFIG_INPUT=y +# CONFIG_INPUT_FF_MEMLESS is not set +CONFIG_INPUT_POLLDEV=y + +# +# Userland interfaces +# +CONFIG_INPUT_MOUSEDEV=y +# CONFIG_INPUT_MOUSEDEV_PSAUX is not set +CONFIG_INPUT_MOUSEDEV_SCREEN_X=1024 +CONFIG_INPUT_MOUSEDEV_SCREEN_Y=768 +# CONFIG_INPUT_JOYDEV is not set +# CONFIG_INPUT_EVDEV is not set +# CONFIG_INPUT_EVBUG is not set + +# +# Input Device Drivers +# +# CONFIG_INPUT_KEYBOARD is not set +# CONFIG_INPUT_MOUSE is not set +# CONFIG_INPUT_JOYSTICK is not set +# CONFIG_INPUT_TABLET is not set +# CONFIG_INPUT_TOUCHSCREEN is not set +# CONFIG_INPUT_MISC is not set + +# +# Hardware I/O ports +# +# CONFIG_SERIO is not set +# CONFIG_GAMEPORT is not set + +# +# Character devices +# +CONFIG_VT=y +CONFIG_CONSOLE_TRANSLATIONS=y +CONFIG_VT_CONSOLE=y +CONFIG_HW_CONSOLE=y +# CONFIG_VT_HW_CONSOLE_BINDING is not set +CONFIG_DEVKMEM=y +# CONFIG_SERIAL_NONSTANDARD is not set + +# +# Serial drivers +# +# CONFIG_SERIAL_8250 is not set + +# +# Non-8250 serial port support +# +CONFIG_SERIAL_ATMEL=y +CONFIG_SERIAL_ATMEL_CONSOLE=y +CONFIG_SERIAL_ATMEL_PDC=y +# CONFIG_SERIAL_ATMEL_TTYAT is not set +# CONFIG_SERIAL_MAX3100 is not set +CONFIG_SERIAL_CORE=y +CONFIG_SERIAL_CORE_CONSOLE=y +CONFIG_UNIX98_PTYS=y +# CONFIG_DEVPTS_MULTIPLE_INSTANCES is not set +CONFIG_LEGACY_PTYS=y +CONFIG_LEGACY_PTY_COUNT=16 +# CONFIG_IPMI_HANDLER is not set +CONFIG_HW_RANDOM=y +# CONFIG_HW_RANDOM_TIMERIOMEM is not set +# CONFIG_R3964 is not set +# CONFIG_RAW_DRIVER is not set +# CONFIG_TCG_TPM is not set +# CONFIG_I2C is not set +CONFIG_SPI=y +# CONFIG_SPI_DEBUG is not set +CONFIG_SPI_MASTER=y + +# +# SPI Master Controller Drivers +# +CONFIG_SPI_ATMEL=y +# CONFIG_SPI_BITBANG is not set +# CONFIG_SPI_GPIO is not set + +# +# SPI Protocol Masters +# +CONFIG_SPI_SPIDEV=y +# CONFIG_SPI_TLE62X0 is not set +CONFIG_ARCH_REQUIRE_GPIOLIB=y +CONFIG_GPIOLIB=y +# CONFIG_DEBUG_GPIO is not set +# CONFIG_GPIO_SYSFS is not set + +# +# Memory mapped GPIO expanders: +# + +# +# I2C GPIO expanders: +# + +# +# PCI GPIO expanders: +# + +# +# SPI GPIO expanders: +# +# CONFIG_GPIO_MAX7301 is not set +# CONFIG_GPIO_MCP23S08 is not set +# CONFIG_W1 is not set +# CONFIG_POWER_SUPPLY is not set +# CONFIG_HWMON is not set +# CONFIG_THERMAL is not set +# CONFIG_THERMAL_HWMON is not set +# CONFIG_WATCHDOG is not set +CONFIG_SSB_POSSIBLE=y + +# +# Sonics Silicon Backplane +# +# CONFIG_SSB is not set + +# +# Multifunction device drivers +# +# CONFIG_MFD_CORE is not set +# CONFIG_MFD_SM501 is not set +# CONFIG_MFD_ASIC3 is not set +# CONFIG_HTC_EGPIO is not set +# CONFIG_HTC_PASIC3 is not set +# CONFIG_MFD_TMIO is not set +# CONFIG_MFD_T7L66XB is not set +# CONFIG_MFD_TC6387XB is not set +# CONFIG_MFD_TC6393XB is not set +# CONFIG_EZX_PCAP is not set +# CONFIG_MEDIA_SUPPORT is not set + +# +# Graphics support +# +# CONFIG_VGASTATE is not set +# CONFIG_VIDEO_OUTPUT_CONTROL is not set +# CONFIG_FB is not set +# CONFIG_BACKLIGHT_LCD_SUPPORT is not set + +# +# Display device support +# +# CONFIG_DISPLAY_SUPPORT is not set + +# +# Console display driver support +# +# CONFIG_VGA_CONSOLE is not set +CONFIG_DUMMY_CONSOLE=y +# CONFIG_SOUND is not set +# CONFIG_HID_SUPPORT is not set +CONFIG_USB_SUPPORT=y +CONFIG_USB_ARCH_HAS_HCD=y +CONFIG_USB_ARCH_HAS_OHCI=y +# CONFIG_USB_ARCH_HAS_EHCI is not set +CONFIG_USB=y +# CONFIG_USB_DEBUG is not set +CONFIG_USB_ANNOUNCE_NEW_DEVICES=y + +# +# Miscellaneous USB options +# +# CONFIG_USB_DEVICEFS is not set +# CONFIG_USB_DEVICE_CLASS is not set +# CONFIG_USB_DYNAMIC_MINORS is not set +# CONFIG_USB_OTG is not set +# CONFIG_USB_MON is not set +# CONFIG_USB_WUSB is not set +# CONFIG_USB_WUSB_CBAF is not set + +# +# USB Host Controller Drivers +# +# CONFIG_USB_C67X00_HCD is not set +# CONFIG_USB_OXU210HP_HCD is not set +# CONFIG_USB_ISP116X_HCD is not set +# CONFIG_USB_ISP1760_HCD is not set +CONFIG_USB_OHCI_HCD=y +# CONFIG_USB_OHCI_BIG_ENDIAN_DESC is not set +# CONFIG_USB_OHCI_BIG_ENDIAN_MMIO is not set +CONFIG_USB_OHCI_LITTLE_ENDIAN=y +# CONFIG_USB_SL811_HCD is not set +# CONFIG_USB_R8A66597_HCD is not set +# CONFIG_USB_HWA_HCD is not set +# CONFIG_USB_MUSB_HDRC is not set + +# +# USB Device Class drivers +# +# CONFIG_USB_ACM is not set +# CONFIG_USB_PRINTER is not set +# CONFIG_USB_WDM is not set +# CONFIG_USB_TMC is not set + +# +# NOTE: USB_STORAGE depends on SCSI but BLK_DEV_SD may +# + +# +# also be needed; see USB_STORAGE Help for more info +# +# CONFIG_USB_STORAGE is not set +# CONFIG_USB_LIBUSUAL is not set + +# +# USB Imaging devices +# +# CONFIG_USB_MDC800 is not set +# CONFIG_USB_MICROTEK is not set + +# +# USB port drivers +# +# CONFIG_USB_SERIAL is not set + +# +# USB Miscellaneous drivers +# +# CONFIG_USB_EMI62 is not set +# CONFIG_USB_EMI26 is not set +# CONFIG_USB_ADUTUX is not set +# CONFIG_USB_SEVSEG is not set +# CONFIG_USB_RIO500 is not set +# CONFIG_USB_LEGOTOWER is not set +# CONFIG_USB_LCD is not set +# CONFIG_USB_BERRY_CHARGE is not set +# CONFIG_USB_LED is not set +# CONFIG_USB_CYPRESS_CY7C63 is not set +# CONFIG_USB_CYTHERM is not set +# CONFIG_USB_IDMOUSE is not set +# CONFIG_USB_FTDI_ELAN is not set +# CONFIG_USB_APPLEDISPLAY is not set +# CONFIG_USB_LD is not set +# CONFIG_USB_TRANCEVIBRATOR is not set +# CONFIG_USB_IOWARRIOR is not set +# CONFIG_USB_TEST is not set +# CONFIG_USB_ISIGHTFW is not set +# CONFIG_USB_VST is not set +# CONFIG_USB_GADGET is not set + +# +# OTG and related infrastructure +# +# CONFIG_USB_GPIO_VBUS is not set +# CONFIG_NOP_USB_XCEIV is not set +# CONFIG_MMC is not set +# CONFIG_MEMSTICK is not set +# CONFIG_ACCESSIBILITY is not set +# CONFIG_NEW_LEDS is not set +CONFIG_RTC_LIB=y +# CONFIG_RTC_CLASS is not set +# CONFIG_DMADEVICES is not set +# CONFIG_AUXDISPLAY is not set +# CONFIG_REGULATOR is not set +# CONFIG_UIO is not set +# CONFIG_STAGING is not set + +# +# File systems +# +CONFIG_EXT2_FS=y +# CONFIG_EXT2_FS_XATTR is not set +# CONFIG_EXT2_FS_XIP is not set +# CONFIG_EXT3_FS is not set +# CONFIG_EXT4_FS is not set +# CONFIG_REISERFS_FS is not set +# CONFIG_JFS_FS is not set +# CONFIG_FS_POSIX_ACL is not set +# CONFIG_XFS_FS is not set +# CONFIG_GFS2_FS is not set +# CONFIG_OCFS2_FS is not set +# CONFIG_BTRFS_FS is not set +CONFIG_FILE_LOCKING=y +CONFIG_FSNOTIFY=y +# CONFIG_DNOTIFY is not set +# CONFIG_INOTIFY is not set +CONFIG_INOTIFY_USER=y +# CONFIG_QUOTA is not set +# CONFIG_AUTOFS_FS is not set +# CONFIG_AUTOFS4_FS is not set +# CONFIG_FUSE_FS is not set + +# +# Caches +# +# CONFIG_FSCACHE is not set + +# +# CD-ROM/DVD Filesystems +# +# CONFIG_ISO9660_FS is not set +# CONFIG_UDF_FS is not set + +# +# DOS/FAT/NT Filesystems +# +# CONFIG_MSDOS_FS is not set +# CONFIG_VFAT_FS is not set +# CONFIG_NTFS_FS is not set + +# +# Pseudo filesystems +# +CONFIG_PROC_FS=y +CONFIG_PROC_SYSCTL=y +CONFIG_PROC_PAGE_MONITOR=y +CONFIG_SYSFS=y +CONFIG_TMPFS=y +# CONFIG_TMPFS_POSIX_ACL is not set +# CONFIG_HUGETLB_PAGE is not set +# CONFIG_CONFIGFS_FS is not set +CONFIG_MISC_FILESYSTEMS=y +# CONFIG_ADFS_FS is not set +# CONFIG_AFFS_FS is not set +# CONFIG_HFS_FS is not set +# CONFIG_HFSPLUS_FS is not set +# CONFIG_BEFS_FS is not set +# CONFIG_BFS_FS is not set +# CONFIG_EFS_FS is not set +# CONFIG_JFFS2_FS is not set +# CONFIG_CRAMFS is not set +# CONFIG_SQUASHFS is not set +# CONFIG_VXFS_FS is not set +# CONFIG_MINIX_FS is not set +# CONFIG_OMFS_FS is not set +# CONFIG_HPFS_FS is not set +# CONFIG_QNX4FS_FS is not set +# CONFIG_ROMFS_FS is not set +# CONFIG_SYSV_FS is not set +# CONFIG_UFS_FS is not set +# CONFIG_NILFS2_FS is not set +CONFIG_NETWORK_FILESYSTEMS=y +# CONFIG_NFS_FS is not set +# CONFIG_NFSD is not set +# CONFIG_SMB_FS is not set +CONFIG_CIFS=y +# CONFIG_CIFS_STATS is not set +# CONFIG_CIFS_WEAK_PW_HASH is not set +# CONFIG_CIFS_XATTR is not set +# CONFIG_CIFS_DEBUG2 is not set +# CONFIG_CIFS_EXPERIMENTAL is not set +# CONFIG_NCP_FS is not set +# CONFIG_CODA_FS is not set +# CONFIG_AFS_FS is not set + +# +# Partition Types +# +# CONFIG_PARTITION_ADVANCED is not set +CONFIG_MSDOS_PARTITION=y +CONFIG_NLS=y +CONFIG_NLS_DEFAULT="iso8859-1" +# CONFIG_NLS_CODEPAGE_437 is not set +# CONFIG_NLS_CODEPAGE_737 is not set +# CONFIG_NLS_CODEPAGE_775 is not set +# CONFIG_NLS_CODEPAGE_850 is not set +# CONFIG_NLS_CODEPAGE_852 is not set +# CONFIG_NLS_CODEPAGE_855 is not set +# CONFIG_NLS_CODEPAGE_857 is not set +# CONFIG_NLS_CODEPAGE_860 is not set +# CONFIG_NLS_CODEPAGE_861 is not set +# CONFIG_NLS_CODEPAGE_862 is not set +# CONFIG_NLS_CODEPAGE_863 is not set +# CONFIG_NLS_CODEPAGE_864 is not set +# CONFIG_NLS_CODEPAGE_865 is not set +# CONFIG_NLS_CODEPAGE_866 is not set +# CONFIG_NLS_CODEPAGE_869 is not set +# CONFIG_NLS_CODEPAGE_936 is not set +# CONFIG_NLS_CODEPAGE_950 is not set +# CONFIG_NLS_CODEPAGE_932 is not set +# CONFIG_NLS_CODEPAGE_949 is not set +# CONFIG_NLS_CODEPAGE_874 is not set +# CONFIG_NLS_ISO8859_8 is not set +# CONFIG_NLS_CODEPAGE_1250 is not set +# CONFIG_NLS_CODEPAGE_1251 is not set +# CONFIG_NLS_ASCII is not set +# CONFIG_NLS_ISO8859_1 is not set +# CONFIG_NLS_ISO8859_2 is not set +# CONFIG_NLS_ISO8859_3 is not set +# CONFIG_NLS_ISO8859_4 is not set +# CONFIG_NLS_ISO8859_5 is not set +# CONFIG_NLS_ISO8859_6 is not set +# CONFIG_NLS_ISO8859_7 is not set +# CONFIG_NLS_ISO8859_9 is not set +# CONFIG_NLS_ISO8859_13 is not set +# CONFIG_NLS_ISO8859_14 is not set +# CONFIG_NLS_ISO8859_15 is not set +# CONFIG_NLS_KOI8_R is not set +# CONFIG_NLS_KOI8_U is not set +# CONFIG_NLS_UTF8 is not set +# CONFIG_DLM is not set + +# +# Kernel hacking +# +# CONFIG_PRINTK_TIME is not set +CONFIG_ENABLE_WARN_DEPRECATED=y +CONFIG_ENABLE_MUST_CHECK=y +CONFIG_FRAME_WARN=1024 +# CONFIG_MAGIC_SYSRQ is not set +# CONFIG_UNUSED_SYMBOLS is not set +# CONFIG_DEBUG_FS is not set +# CONFIG_HEADERS_CHECK is not set +CONFIG_DEBUG_KERNEL=y +# CONFIG_DEBUG_SHIRQ is not set +CONFIG_DETECT_SOFTLOCKUP=y +# CONFIG_BOOTPARAM_SOFTLOCKUP_PANIC is not set +CONFIG_BOOTPARAM_SOFTLOCKUP_PANIC_VALUE=0 +CONFIG_DETECT_HUNG_TASK=y +# CONFIG_BOOTPARAM_HUNG_TASK_PANIC is not set +CONFIG_BOOTPARAM_HUNG_TASK_PANIC_VALUE=0 +CONFIG_SCHED_DEBUG=y +# CONFIG_SCHEDSTATS is not set +# CONFIG_TIMER_STATS is not set +# CONFIG_DEBUG_OBJECTS is not set +# CONFIG_DEBUG_SLAB is not set +# CONFIG_DEBUG_KMEMLEAK is not set +# CONFIG_DEBUG_RT_MUTEXES is not set +# CONFIG_RT_MUTEX_TESTER is not set +# CONFIG_DEBUG_SPINLOCK is not set +# CONFIG_DEBUG_MUTEXES is not set +# CONFIG_DEBUG_LOCK_ALLOC is not set +# CONFIG_PROVE_LOCKING is not set +# CONFIG_LOCK_STAT is not set +# CONFIG_DEBUG_SPINLOCK_SLEEP is not set +# CONFIG_DEBUG_LOCKING_API_SELFTESTS is not set +# CONFIG_DEBUG_KOBJECT is not set +CONFIG_DEBUG_BUGVERBOSE=y +# CONFIG_DEBUG_INFO is not set +# CONFIG_DEBUG_VM is not set +# CONFIG_DEBUG_WRITECOUNT is not set +CONFIG_DEBUG_MEMORY_INIT=y +# CONFIG_DEBUG_LIST is not set +# CONFIG_DEBUG_SG is not set +# CONFIG_DEBUG_NOTIFIERS is not set +# CONFIG_BOOT_PRINTK_DELAY is not set +# CONFIG_RCU_TORTURE_TEST is not set +# CONFIG_RCU_CPU_STALL_DETECTOR is not set +# CONFIG_BACKTRACE_SELF_TEST is not set +# CONFIG_DEBUG_BLOCK_EXT_DEVT is not set +# CONFIG_FAULT_INJECTION is not set +# CONFIG_LATENCYTOP is not set +# CONFIG_SYSCTL_SYSCALL_CHECK is not set +# CONFIG_PAGE_POISONING is not set +CONFIG_HAVE_FUNCTION_TRACER=y +CONFIG_TRACING_SUPPORT=y +# CONFIG_FTRACE is not set +# CONFIG_SAMPLES is not set +CONFIG_HAVE_ARCH_KGDB=y +# CONFIG_KGDB is not set +CONFIG_ARM_UNWIND=y +CONFIG_DEBUG_USER=y +# CONFIG_DEBUG_ERRORS is not set +# CONFIG_DEBUG_STACK_USAGE is not set +CONFIG_DEBUG_LL=y +# CONFIG_DEBUG_ICEDCC is not set + +# +# Security options +# +# CONFIG_KEYS is not set +# CONFIG_SECURITY is not set +# CONFIG_SECURITYFS is not set +# CONFIG_SECURITY_FILE_CAPABILITIES is not set +CONFIG_CRYPTO=y + +# +# Crypto core or helper +# +# CONFIG_CRYPTO_FIPS is not set +CONFIG_CRYPTO_ALGAPI=y +CONFIG_CRYPTO_ALGAPI2=y +CONFIG_CRYPTO_AEAD2=y +CONFIG_CRYPTO_BLKCIPHER=y +CONFIG_CRYPTO_BLKCIPHER2=y +CONFIG_CRYPTO_HASH=y +CONFIG_CRYPTO_HASH2=y +CONFIG_CRYPTO_RNG2=y +CONFIG_CRYPTO_PCOMP=y +CONFIG_CRYPTO_MANAGER=y +CONFIG_CRYPTO_MANAGER2=y +# CONFIG_CRYPTO_GF128MUL is not set +# CONFIG_CRYPTO_NULL is not set +CONFIG_CRYPTO_WORKQUEUE=y +# CONFIG_CRYPTO_CRYPTD is not set +# CONFIG_CRYPTO_AUTHENC is not set + +# +# Authenticated Encryption with Associated Data +# +# CONFIG_CRYPTO_CCM is not set +# CONFIG_CRYPTO_GCM is not set +# CONFIG_CRYPTO_SEQIV is not set + +# +# Block modes +# +# CONFIG_CRYPTO_CBC is not set +# CONFIG_CRYPTO_CTR is not set +# CONFIG_CRYPTO_CTS is not set +CONFIG_CRYPTO_ECB=y +# CONFIG_CRYPTO_LRW is not set +# CONFIG_CRYPTO_PCBC is not set +# CONFIG_CRYPTO_XTS is not set + +# +# Hash modes +# +# CONFIG_CRYPTO_HMAC is not set +# CONFIG_CRYPTO_XCBC is not set + +# +# Digest +# +# CONFIG_CRYPTO_CRC32C is not set +# CONFIG_CRYPTO_MD4 is not set +# CONFIG_CRYPTO_MD5 is not set +CONFIG_CRYPTO_MICHAEL_MIC=y +# CONFIG_CRYPTO_RMD128 is not set +# CONFIG_CRYPTO_RMD160 is not set +# CONFIG_CRYPTO_RMD256 is not set +# CONFIG_CRYPTO_RMD320 is not set +# CONFIG_CRYPTO_SHA1 is not set +# CONFIG_CRYPTO_SHA256 is not set +# CONFIG_CRYPTO_SHA512 is not set +# CONFIG_CRYPTO_TGR192 is not set +# CONFIG_CRYPTO_WP512 is not set + +# +# Ciphers +# +CONFIG_CRYPTO_AES=y +# CONFIG_CRYPTO_ANUBIS is not set +CONFIG_CRYPTO_ARC4=y +# CONFIG_CRYPTO_BLOWFISH is not set +# CONFIG_CRYPTO_CAMELLIA is not set +# CONFIG_CRYPTO_CAST5 is not set +# CONFIG_CRYPTO_CAST6 is not set +# CONFIG_CRYPTO_DES is not set +# CONFIG_CRYPTO_FCRYPT is not set +# CONFIG_CRYPTO_KHAZAD is not set +# CONFIG_CRYPTO_SALSA20 is not set +# CONFIG_CRYPTO_SEED is not set +# CONFIG_CRYPTO_SERPENT is not set +# CONFIG_CRYPTO_TEA is not set +# CONFIG_CRYPTO_TWOFISH is not set + +# +# Compression +# +# CONFIG_CRYPTO_DEFLATE is not set +# CONFIG_CRYPTO_ZLIB is not set +# CONFIG_CRYPTO_LZO is not set + +# +# Random Number Generation +# +# CONFIG_CRYPTO_ANSI_CPRNG is not set +CONFIG_CRYPTO_HW=y +# CONFIG_BINARY_PRINTF is not set + +# +# Library routines +# +CONFIG_BITREVERSE=y +CONFIG_GENERIC_FIND_LAST_BIT=y +# CONFIG_CRC_CCITT is not set +# CONFIG_CRC16 is not set +# CONFIG_CRC_T10DIF is not set +CONFIG_CRC_ITU_T=y +CONFIG_CRC32=y +# CONFIG_CRC7 is not set +# CONFIG_LIBCRC32C is not set +CONFIG_ZLIB_INFLATE=y +CONFIG_DECOMPRESS_GZIP=y +CONFIG_DECOMPRESS_BZIP2=y +CONFIG_DECOMPRESS_LZMA=y +CONFIG_HAS_IOMEM=y +CONFIG_HAS_IOPORT=y +CONFIG_HAS_DMA=y +CONFIG_NLATTR=y diff --git a/legacy/linux/adtest/Makefile b/legacy/linux/adtest/Makefile new file mode 100755 index 0000000..b8ad4c6 --- /dev/null +++ b/legacy/linux/adtest/Makefile @@ -0,0 +1,25 @@ +CC=arm-linux-uclibc-gcc +STRIP=arm-linux-uclibc-strip +STRIP=echo + +CFLAGS=-Wall -O3 -I../liblicks/include + +OBJS=monitor.o +BIN=monitor + +all: $(BIN) + +$(BIN): $(OBJS) + $(CC) $(OBJS) -o $(BIN) -lm + $(STRIP) $(BIN) + +../liblicks/liblicks.a: + make -C ../liblicks/ liblicks.a + +../liblicks/liblicks-fakespi.a: + make -C ../liblicks/ liblicks-fakespi.a + + +clean: + rm -f *.o *~ $(BIN) + make -C ../liblicks/ clean \ No newline at end of file diff --git a/legacy/linux/adtest/ad.bin b/legacy/linux/adtest/ad.bin new file mode 100755 index 0000000..7e9a25a --- /dev/null +++ b/legacy/linux/adtest/ad.bin @@ -0,0 +1 @@ +o`.kcWpa6nfX \ No newline at end of file diff --git a/legacy/linux/adtest/calibration.bin b/legacy/linux/adtest/calibration.bin new file mode 100755 index 0000000000000000000000000000000000000000..0549b7c2cdafaaced71b3c4a1bc20971c5a503da GIT binary patch literal 96 zcmaED!@#i9fPvwFCIiDqeFlc#MhpxqwHO#IG#D868Zt1v)n;J$22`UB6i)@x-X;tT XK|uAfmJAG6)EF3yfO=$rd|Utkr11^z literal 0 HcmV?d00001 diff --git a/legacy/linux/adtest/floortouch.c b/legacy/linux/adtest/floortouch.c new file mode 100755 index 0000000..5df45f8 --- /dev/null +++ b/legacy/linux/adtest/floortouch.c @@ -0,0 +1,159 @@ +#include +#include +#include +#include +#include + +#include + +#include "servo.h" +#include "spi.h" + +#include "ik.h" + +void ik_to_servos(bot *); +int get_time(); + +int ad_fd; + +static bot idle_position = { + {0,0,-20}, // body position + {0,0,0}, // body rotation + + { // leg positions + {{ 166, 130, 0},}, // leg 0 + {{ 0, 180, 0},}, // leg 1 + {{-166, 130, 0},}, // ... + {{-166,-130, 0},}, + {{ 0,-180, 0},}, + {{ 166,-130, 0},} + } +}; + + +int +main(int argc, char **argv) { + struct termios t; + int flags_stdio; + + int quit=0; + int frame=0; + int t_frame_start, t_frame_end; + + int dump_fd; + + char c; + int i,j, int_z; + int leg; + unsigned char adbuffer[12]; + unsigned char ad_max[6]={0,0,0,0,0,0}; + unsigned char ad_min[6]={255,255,255,255,255,255}; + unsigned char ad; + + load_calibration("calibration.bin"); + + spi_open(0,33000000); + + ad_fd=open("/dev/ttyS2",O_RDWR); + if(ad_fd<0) { + printf("can't open /dev/ttyS2."); + exit(2); + } + + tcgetattr(0,&t); + t.c_lflag&=~(ICANON|ECHO); + tcsetattr(0,TCSANOW,&t); + + tcgetattr(ad_fd,&t); + t.c_lflag&=~(ICANON|ECHO); + tcsetattr(ad_fd,TCSANOW,&t); + + flags_stdio=fcntl(0, F_GETFL,0); + flags_stdio|=O_NONBLOCK; + fcntl(0, F_SETFL,flags_stdio); + + ik(&idle_position); + ik_to_servos(&idle_position); + + for(j=0;j<200;j++) { + write(ad_fd,&c,1); + read(ad_fd,&adbuffer,12); + for(i=0;i<6;i++) { + if(i==adbuffer[2*i]) { + if(adbuffer[2*i+1]>10) { + if(ad_min[i]>adbuffer[2*i+1]) ad_min[i]=(adbuffer[2*i+1]+ad_min[i])/2; + } + } + } + } + leg=0; + + while(!quit) { + t_frame_start=get_time(); + write(ad_fd,&c,1); + read(ad_fd,&adbuffer,12); + + for(i=0;i<6;i++) { + if(i==adbuffer[2*i]) { + ad=adbuffer[2*i+1]; + if((ad-ad_min[i])<10) { + if(idle_position.leg[i].position.z<100) idle_position.leg[i].position.z+=1; + } else if((ad-ad_min[i])>20) { + if(idle_position.leg[i].position.z>0) idle_position.leg[i].position.z-=1; + } + } + } + + if(read(0,&c,1)==1) { + switch(c) { + case 27: + case 'q': + quit=1; + break; + } + } + ik(&idle_position); + t_frame_end=get_time(); + if(t_frame_end-t_frame_start>20000) { + printf("frame %d slack %d\n",frame,t_frame_end-t_frame_start); + } + while(t_frame_endleg[i].ik_angle[j])) { + servo_pwm[i*3+j]=b->leg[i].ik_angle[j]*8.5*1800.0/M_PI+servo_offsets[i*3+j]; + } + } + } + spi_update_servos(); +} + + +int +get_time() { + struct timeval t; + gettimeofday(&t,NULL); + return (t.tv_sec*1000000+t.tv_usec); + +} diff --git a/legacy/linux/adtest/main.c b/legacy/linux/adtest/main.c new file mode 100755 index 0000000..01de22e --- /dev/null +++ b/legacy/linux/adtest/main.c @@ -0,0 +1,189 @@ +#include +#include +#include +#include +#include + +#include + +#include "servo.h" +#include "spi.h" + +#include "ik.h" + +void ik_to_servos(bot *); +int get_time(); + +int ad_fd; + +static bot idle_position = { + {0,0,-20}, // body position + {0,0,0}, // body rotation + + { // leg positions + {{ 166, 130, 0},}, // leg 0 + {{ 0, 180, 0},}, // leg 1 + {{-166, 130, 0},}, // ... + {{-166,-130, 0},}, + {{ 0,-180, 0},}, + {{ 166,-130, 0},} + } +}; + + +int +main(int argc, char **argv) { + struct termios t; + int flags_stdio; + + int quit=0; + int frame=0; + int t_frame_start, t_frame_end; + + int dump_fd; + + char c; + int i, int_z; + int leg; + unsigned char adbuffer[12]; + unsigned char ad_max[6]={0,0,0,0,0,0}; + unsigned char ad_min[6]={255,255,255,255,255,255}; + + load_calibration("calibration.bin"); + + spi_open(0,33000000); + + ad_fd=open("/dev/ttyS2",O_RDWR); + if(ad_fd<0) { + printf("can't open /dev/ttyS2."); + exit(2); + } + + tcgetattr(0,&t); + t.c_lflag&=~(ICANON|ECHO); + tcsetattr(0,TCSANOW,&t); + + tcgetattr(ad_fd,&t); + t.c_lflag&=~(ICANON|ECHO); + tcsetattr(ad_fd,TCSANOW,&t); + + flags_stdio=fcntl(0, F_GETFL,0); + flags_stdio|=O_NONBLOCK; + fcntl(0, F_SETFL,flags_stdio); + + leg=0; + + while(!quit) { + write(ad_fd,&c,1); + read(ad_fd,&adbuffer,12); + printf("\f"); + printf(" val rval min max avg diff z\n"); + for(i=0;i<6;i++) { + printf("%d: %3d %3d %3d %3d %3d %3d %3.2f\n",adbuffer[2*i],adbuffer[2*i+1],adbuffer[2*i+1]-ad_min[i],ad_min[i], ad_max[i],(ad_min[i]+ad_max[i])/2,ad_max[i]-ad_min[i],idle_position.leg[i].position.z); + if(ad_min[i]>adbuffer[2*i+1]) ad_min[i]=adbuffer[2*i+1]; + if(ad_max[i]0) leg--; + break; + case 'r': + for(i=0;i<6;i++) { + for(int_z=0;int_z<20;int_z++) { + idle_position.leg[i].position.z=int_z; + + t_frame_start=get_time(); + ik(&idle_position); + t_frame_end=get_time(); + while(t_frame_endadbuffer[2*i+1]) ad_min[i]=adbuffer[2*i+1]; + if(ad_max[i]0;int_z--) { + idle_position.leg[i].position.z=int_z; + + t_frame_start=get_time(); + ik(&idle_position); + t_frame_end=get_time(); + while(t_frame_endadbuffer[2*i+1]) ad_min[i]=adbuffer[2*i+1]; + if(ad_max[i]=0) { + write(dump_fd,ad_min,sizeof(ad_min)); + write(dump_fd,ad_max,sizeof(ad_max)); + } + + } + } + t_frame_start=get_time(); + ik(&idle_position); + t_frame_end=get_time(); + if(t_frame_end-t_frame_start>20000) { + printf("frame %d slack %d\n",frame,t_frame_end-t_frame_start); + } + while(t_frame_endleg[i].ik_angle[j])) { + servo_pwm[i*3+j]=b->leg[i].ik_angle[j]*8.5*1800.0/M_PI+servo_offsets[i*3+j]; + } + } + } + spi_update_servos(); +} + + +int +get_time() { + struct timeval t; + gettimeofday(&t,NULL); + return (t.tv_sec*1000000+t.tv_usec); + +} diff --git a/legacy/linux/calibration/Makefile b/legacy/linux/calibration/Makefile new file mode 100755 index 0000000..48cb75b --- /dev/null +++ b/legacy/linux/calibration/Makefile @@ -0,0 +1,19 @@ +#CC=arm-linux-uclibc-gcc +#STRIP=arm-linux-uclibc-strip +#STRIP=echo + +#CFLAGS=-Wall -Os -pipe -mtune=arm9tdmi -march=armv5te -mabi=apcs-gnu -msoft-float -I../liblicks/include +CFLAGS=-I../liblicks/include +OBJS=main.o ../liblicks/liblicks.a +BIN=calibration + +$(BIN): $(OBJS) + $(CC) $(OBJS) -o $(BIN) -lm + $(STRIP) $(BIN) + +clean: + rm -f *.o *~ $(BIN) + + + + \ No newline at end of file diff --git a/legacy/linux/calibration/Makefile~ b/legacy/linux/calibration/Makefile~ new file mode 100755 index 0000000..07cdb53 --- /dev/null +++ b/legacy/linux/calibration/Makefile~ @@ -0,0 +1,19 @@ +#CC=arm-linux-uclibc-gcc +#STRIP=arm-linux-uclibc-strip +#STRIP=echo + +#CFLAGS=-Wall -Os -pipe -mtune=arm9tdmi -march=armv5te -mabi=apcs-gnu -msoft-float -I../liblicks/include + +OBJS=main.o ../liblicks/liblicks.a +BIN=calibration + +$(BIN): $(OBJS) + $(CC) $(OBJS) -o $(BIN) -lm + $(STRIP) $(BIN) + +clean: + rm -f *.o *~ $(BIN) + + + + \ No newline at end of file diff --git a/legacy/linux/calibration/calibration.bin b/legacy/linux/calibration/calibration.bin new file mode 100755 index 0000000000000000000000000000000000000000..11f891691990b41f4d4c267dfa477cb2e6181882 GIT binary patch literal 96 zcmaED!@#i9fPvwFCIiDqeFlc#MhpxqwHO#IG#D5Zf$X>13=H3ZYP5muR3Pnb!oUy& XR3B@}z;H#4f#H@8149TuO ze`emBm&CY7>*-nV$$jtM-~I0QefRtBzxU?dY`kxPl`zI!h!Ycx9mSo3k6)+1q)~kN z2fueYdveZ?uRrS8@Z;;Zbcd5#JQ3?k1bVb!AR3LOv<^c{^hULCR9kz`X00a{GCXtV zmeoksEq=x}IOedyvhIznma!TqW20=g<1&Y{idBN>&k%v}H03o!(vH%=NpA-t-FXHP`SpOdp~WrKx_6tGv{hEXU_k0&zlub`QPkZGlf*{A1R^q zPkY`Ran%gX^RcluH)A7fYjEXv-rG<{*|7(vDKn-i`@}S58&P)RnSny!`npLS4eESl zE@PQ2QAT;Iey^7+{ca-OzmmLv&0*57 zGOw4cf6yiP{aUtq|ArbDyfg8@C2-z+Z|2$b*!tP9z5fCYV5)sR+VC(z5a9db?;M1Y zJFc5WWTz>8R?GQMkGS?~J|AV7$fZ|{T*iOek=b+l>IYvM`$H+`Ifr=Oh4g;^<5{p( zEU$)`od3zp==rSwNm1q|u}<(*G;eURqd*8kL)qBHf+pkV06=`!KRDta_*iReYtBFL zyPm=9^EvD&CHy*EQz&b5~s3wvJ#6J&Dk}%&BFddY zj_9iPYH*aOL8`BM){_;wha-7VodttxJnRV?ajmKYx+oq+>z6fWWR^&$t=|Vh4-ZqS2hdX- zx-h5W;cp?8+js%JwyU$VlX~s=vEMth$EnjkzxY`8c-DVmd>;|P&rctF#p!r@zv~JD zlK|nrG4kJ|@Rb^r9l5sC*|ur=Z`}p~l`kf2O@e_;_e1r%}h!|s=GN{C-gx|r~ zggIzHOYAJLtp5~XcRN)_u^1Vrs5}1~xyR3wFCck#Ti&7hG1+^IGRQUg!V*4R(U^tp zR7;!>{^IP-)6s&|M5`!o!ZcAB+1aNHW47YkPgCdi`Bbf|AAE7__h6FV^XdZ?dq4EX z#KhRIkS&@8=kYc@nK@oD^(^>5&^vQ3{fYbA_6IJ}`i0s1&(G}xGcpivu!@@ubOeN1 z|3GHXz(lHD8F9b=0C^Lgj7Xm6B!ihfgYCW77LmXUWbLu9gXZjf;)!|y2X6pa3sCZC zrSi+9%s4W%yzsROQr!Fe6DOWQu8l64bJZk-h5+g6Kx@J9=nY_q=L0BvDYNI&MCwMR z2@#PEbN)-D?Js%Tcybg;&KFCxNs1{qFfg&G>0TeB`|bSB6~H9P*A>*~>-kS`&zy5l zTzR^MXw89ZZ35nIeqzxn&U0c>xzyv5%%7C^TO_W@`>4e0WqwTNYh=Dw-mB!jQr_M2 z?vnRveuusv+nhO9nQJT0_0qlu8y+@x6}rgYm$Szz`o9l19Q+wX>^(8k?0Ou9BhBvL z(%5V+$7;;7&6PPn8_A@RrZAGBRg!w`Nb@ZN*ZFX(e~jO%(r{8XSv%6aZn&E84JY}n zWt88xoaeWiexfQFX|6tbEfFm^&2QQ$zpWj^t)l-J*yrx;+xtRA|34ska3AXI9j@5> z4a%f7p6S2BiA=xD(0DkwPi9V2<{_E+N6HMy%-4{~H4IYj^@{#9<>nrs+=+_*4kCO^ z65dG}l9Y9F8QC>1&OW=w%{gb+lyj!pHI)a6U3N{?L1L6$Q_Xo~*U+vG>SF)Ljp=15 z9cgZQ?gqNu&U|$9oyQC`k{KkrdQ@)SjlMgQIUw^Rna3!_Ffw|bm?n&iN1+zG|5I&G zyV?FHZ=|vjA9q^rO6fx%cV6~KBR=lFk#w4<5Ju8@$N7Zge8O=)?zmj~Fo?1b)Q+qc z?pw{>mvxf&R|_Am7Cu}peCQZiEqu6I_;9uG;cDT-y|=$PF)@A-m)x2KhsdnsN01rF zt*Jglh8lkax&1p>ZcWu8GT?YpkxH<@i+e< z_&E=e+s0o(mWC}K@6`vN@WH&8bq)@RgzMk{B`}|S6(-G`D?jnfe(Gwpzs7K>%K6K4 z8{N6q%FIPaMgJF2kf_dFbf!8p7fYx|vD6*e)48@wC!Q|nTQi!ZsCu@%W^BFSxOX`F zOzNeB!&1%-Ku1a`hrQaw*<;dw*;WkAOC$br^b(fc=sq!C2Esh*ROkgHX#c-#7^7lv zc-hO@xzvJ@Qfd$>l)aMLc!-7yDU)8{e; zmqLhXcBOgxoK-&W@=yYXCc=qD7o^;^Qtmo_m+F%L+QwJDhQ1)i2hWcnuiA&E#xY+} zAV;KSngj1&-f;`;!>pf1oGL77W^CRHJd@lk?mO!k`%W!mZ3`Lu!7|4FYYAho-_F>Q z<%}7)e-y8SzJgx!yoa&JZer~Bp#R0KQ*nQ5Jh-c?D;U(1OP6^Ci5;=BB}-Q!6)}P- zEn;*LVcGp{tMJ-0m@ooKqb_8Kga+l~S~C1;gL5m3uhQbNokl{7MeAanom9DO*>dm- zfOSteTGtck({=duAV&ae{uB~J;C@cl5=Hg+(;y1qzbDojVHjelhU6qjrXR4-2p1t zL+K=*%Sve`V(byROGOVM9mS$dbsm|O3PM^Sg_aE>os{Vx%<7Fs!cnXejD2wS&O|t6 zu!YmC&-bBhvXy(D^G+kRF&65L80!MjP{dfx*lNOB60zXwP>2>Pl0m=kz1vZEMQl*2 zAdh0vzJ=8Sqxez(rn~(cRxIaR6|UfWdszzeczY<&RXCu_!oZ&F2|jBw`$Fr*R#Uo)t1-S-&)^P@6~D(*wkW2xL8}p9CcmM z-a72Z>m-=0iwA<+16|>0R~@gnbOjZAm#R!M5hOt5>#$d)${r8rA#%$-LH4%UcJziL zp}KHmQ{&QjaH$x7k~{0h6C*_mPFE==G2CYNMw8*Ls1d@39C_z#v754@j#+$?b2?^8 zTA6j{$pqjm6}34lcWERR?b7%~0J94~zM{}VkW#wDH5*ECLJN({QR@1VgA{jlVjBom z-L6oqw<%}3h5=|`{7T{0*-l(Meg~RmAJ2Q&vRVisGNnq zSHmv5?8AWY1&v5za=4P+gx_*YD^Rq4K4Y`4VkPcXm)*uQvmK80^Bq9l*R%EW>n>w# zj#m_yuAl#rawmTP{gboI{||*fhh{q2Zd@IXH!h!xs{@k&W`TEhvd04fpZjUH2k)+q z$kJdcmPmTKDf@nSPc5)kN_Q}gIj3;P7BdY~U#}5J6-pdm#}#vjDX1^k2%;TE$t0ZB z*JwmT@8>6_=?r7_W9%BlHz&|{99Zx1VytT^9&bAEqA!X*h0sM8gc2V0SjRS=#f5X` zna|*Q90Xh+j%0Ct0&%*w|24{6pgpI_w_VgCozJ4Y3b7FEZO7!vH8au3FYyQwZPyBg zGUZ8#w>v|~3mbmQMnk|;5*2OtYzy*dxI#-k(uVYtl&~Q^lM1`Zs!Tnm4%u2N5NhcU zOYsGts=)86rPRK+^0Ee+DZMOP3L{HCgJ6dTCR0$Ypl24*3jPAj+6qQG=j9voD?&au!`Dw3*QXONZciid5j zV(dkfUz(;}#O>#ZWoU}%tAvapR#=cXfOJ?8H>@7dBg#HP7TM=>r~%iTg0fEcWiT&r(Y{XAP|&r~oc54>W#q+=0vWO(hky)NkiVl^ zlCxMTMt}@kXkH*b_YVvg!~Z;yRhm%BuTNE>`n(NLhoj>JwZ# z@v+YmRO2<$S!sxLaZr2&4e^?ZloSp&A=nQDarlBEQ z%teFFbDGIVir(vKYG_=+^6kp^XHknRwnDa<_=)g#yqxFkctTar-<37$adwHEHZJw;f<+tE1uSp^ z(XbZ!SP<{C;A24=kAkg4WIt^GKS__+q_49{KW>x$TtT{gLVOK0;u)kfu-!n$6uRY) zdxchXrn-CSw>(ozWh=T>E2x7Mg?G%S{ES-7@>=lcHgwQaI1FJ5at^m!-s~OOcIwPi zwO#d%KVrMQ&!HWnUDT_sL9I<2l$RY-(yWZDzTv=>QWVs)XJx+ag%@>-^pDwgl6eaa zH3T2pT1i9Jf;5~juH}svBaOp0K6Hx8%I89HEpMtAX*^})PqmGYX5&Nasa2l=8=o2*pBfvVDjT0c8z1^c z$0BQGt&LB$jn4rapC%ihTWow5*!VnVwt(iW+V%r{nMw6OgxDz>5D<5-oS`jw*gUa>;*zCp#QQ#BSj!xK-796kTeiALki>} zs)a!R8$}>b5t23||4Ilr7ih+8wW?g8VVa4?1BAdrt-C0j*N{FV4HBTO4PBfLm}L^_UgBf1{01OOj^Nw{ zL<5;Zaa0V5>d%m6M0bP}v1r;tvkx@t30m+O0wSKxm3{smNWou7vuA;*nID|#YhIxB z7W=$tsfCj%_*Pe^%BG(1OVFs9Ur7Hg)w0mI_ydp6RBJvVd28tM!(Q>`R_VMLG(Q6w zu@jtc2O{3rDVmL(vss^A5-IrWFM(89_%p-|w{Ad!Nsb|3^&4NO$CBGFj9{2xH- zxtA5q1t4Ub0_Qof-vuBAofiO6y9=S=5+J87G#>_X(t^<3LqTeY!5pH|l=mrg=5MXU zZ$L_)e$-l<*BM_va4(BDz>4N;ps}t*M}Uk$Rzc_Qa_N<(cD+DIUOH)ZhST^=G_L}o zktB7NAKi;zVU+X<(3}BHLDt;-XLO)(T!}JkH`nEd^%`mxe*IsFTIVf%ZY4ez4etPw z23Y7D_e)s?e+>dr&mh7(Ly|_+(#5CztjQw%yTlp3=lVFVf()z90N4wlA+#XtH{>rnG5N2@Rgy4S_Pe}fvDi*Z<6h@JfNusQNgOsvQ|NsZbNojIMX{cD`%`$(ZDt( z8#+1Y*A*)bl|E$QLm4X#@!4tNbA_Eo9J^rRw>I?z9v9UTc{hbqC55<`5!)VyiS=2Re&>Wwq~j8 z4KZ=l@j-b{Eb42b_F*4F#)1a;Fw+RN;NXr-Zo>Efv3!9zZc|`H`xhK`O6>A2gFMQG zqamZOKoE^ZrA#ZS9yh`(ni_TdcfeE_M|p9kAQlWjcwTFq9#ZF=^tD1g5;AEIF!v4K z8;bi7nkfA4zk6-L>oQYx#E8C4MO%u`42KBzh!0mA8yZ$LKovkqkH|p6rq;W+tViE! z+3as!dyl@!zu}%{b(BV@(_VC%3FoF{x^PAc_QyZ^gTc{tg?8r8>~%9?v4dW$>mB13agR}1mY>OrIWN$}u7YF{Bw-WM@7n#Pabhf!8AuSCRt0c{QK!c-Hc{oXDXA7akY<2!r! zAfq`gsV|eGmX7X8g{=qaXo9dK=n`brxJiGmoH{HB>qr7+WK~QD^6>>P92iSlJQwZ> zbQ$~ry68hVO;1k6A@{Cm4<;FoaxRtuAiE|G)`%mJwoZoiXzETjwasa5fN60UTmBaU zVJe_}uv(fW_nFqSGt!!JhbO!(Yw^in=STm+Pgp7_x378qC+#(!$vU0zZk~^+qnl6j zK@di`IGieVEA-X8)S}6dI?r@6g+JuURTC32cLcU=`sn7=t@ux{-HF&vJvF5tgcB#} zi}E?P;0hdp)*>BsCH(OBrR|sh`p}zR~8P9U~*xb_JiW@|Gn;Eu9%=hx) zLd~D1v%B=zO0$@45MY(COg;I9gFmLIIfc)>;w-U(3f@&}+y5EHTe@=6iO#Yb34P>- z#ySa^=V;NXQ>OVrUrz&k4YoGu^M%Qq#u;~meB8!{Y_k91AxOj#==@kmm!<_yjYVbV zU|*kltW%~iEoX1bf&7hQzVn#=?}!?6la5j}NqASxY3B8bc8c^5Od^3Uu~zbi$xXYQ zq4BRku!hh?$=`%o6$Z+uCHz#s9W$O0S+QIXkU&1C7VK&kD$4B2(4&iu`+fFa4)$D4 n`IK2~R9eTaY^EHE(`=H|B4=qB7FDq_ss5yVO;1Z!ne2Z7#u}Bi literal 0 HcmV?d00001 diff --git a/legacy/linux/calibration/main.c b/legacy/linux/calibration/main.c new file mode 100755 index 0000000..5e61966 --- /dev/null +++ b/legacy/linux/calibration/main.c @@ -0,0 +1,133 @@ +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include +#include + +int servo_power[NUM_SERVOS]; + +int +main(int argc, char **argv) { + int fd; + int i,j,l,s; + int frame; + uint32_t time; + char c; + uint16_t pwm; + struct termios t; + int step=1; + + printf("welcome.\n"); + spi_open(0,33000000); + + for(i=0;i "); + } else { + printf(" > "); + } + } else { + if(servo_power[i]) { + printf("! "); + } else { + printf(" "); + } + } + } + printf("%04x (%d) ",servo_offsets[i],servo_offsets[i]); + } + printf("\n\nazsxdc: move servo\n+-: select leg(%d)\n[]: increase/decrease step size (%d)\np: power on/off leg\n12: save min/max value\nw: dump\nf: save to calibration.bin\nq: quit\n> ",l,step); + c=getchar(); + printf("%c (%02x)\n",c,c); + switch(c) { + case 'a': + servo_offsets[l*3]+=step; + break; + case 'z': + servo_offsets[l*3]-=step; + break; + case 's': + servo_offsets[l*3+1]+=step; + break; + case 'x': + servo_offsets[l*3+1]-=step; + break; + case 'd': + servo_offsets[l*3+2]+=step; + break; + case 'c': + servo_offsets[l*3+2]-=step; + break; + case '+': + if((l+1)0) l--; + break; + case '[': + if(step>=10) step/=10; + break; + case ']': + step*=10; + break; + case 'w': + printf("/* Servo current values */\n\nuint16_t servo_base[]= {"); + for(i=0;i#Ng*>(;RqB(9%VNC z5_z;_$1>E?LVRWD3Wy2_K^{~<4=IqMUq;i1B9RprQr!YoU_TI!ff7LiSd|}aR6vZ@ zsQRDXyCLZh!LME5_I^7%J3G5OyWC^9wq8&g^9%8`B(D~<%n?hn$`+t<4S3`cRsKF+ zy6Ef=#-mnLi5{4DiO$*JK%wwJ1*c++^zzLHCp|*UY!ISgZ>77d>9A{YB3YN*BkjQ}w*DqS4FSMkOVBsmst^QGEN+c>Z4TINxYF*dtm z)F2@djoNWoda`r_0(5U1lk>*JtKo3CY)pKap0qzH85{bRdtx@=5qv+IoM za(J?R&JItPMyG{;{EV{cb8VInSM9@V*r`5m)QY>%TUiZ#eAe0opT6$4Up|1+lU&+) zk7g^^ZG`4_w?ujYIhpkq_K~(0XR6v=J$GXL=X|THt7T&a3N2-$=IHHr_2dMVyS%oy zP2ySOmj6R~!nddeOw$Tnb=9e^bbYsNOqE8bUiQEF*g8yUc&hCE`4Pm#YONfu*^An2 zW#eXtv_*DzrQ-G2-U>{KrBAVJYwFsUu^3fX{r$SSwpcl&vGLNZc3=B%JXC|`P7XG= z@icVexo;Gpd6&n}}_GHTk=C4$kK@SmF{N<;L46sEY%v~ zZs{*@5ehH53bePW02v<%B-3!9HOg$`3dAk_)Ew1#VHU2q;oN}BKjH=DJz3l{@0?Ow zxpEyJSLhv!BM&Ig>3=NmT1%Jut?Rm)&)}G7*r#)K_Wyd$32vUN%W_V}MsE%I$6xr* z>Z&Y0;1pc&uYC%ZZjAl#XkR6I=i`ZqiD5Gp%cjksh(%M$fqc|TW;4NoWJa`pR~I^- zRvm9V+dKD<=sUN^+jZgdMNbw+;<1pP&K@`Qf|);__3hpp0;TZdp{LA2QuH0|3+c&B zEN?~&W=GsqpcgDNrx%hZO=-=S3+cJ+u$kAhnT~8CL5+R;o`tO_OsA8Xj&yWHKORjL zP2X@xj~CN9U&5)ivieWg?43RzG7UD6iE+VIX0%D8i(jkzt*8@^kdO-oH7iC$3 zWqFWlG0L?+;o36GCRp|k=&P`|LCi4yp7s97dY`ha#6c7@V7kimPo_;+Pi1c_(~C?mGhG5Hul^2FUTs61v`U|MfYb`^ z11Y@&Af=J0Jq-!9x=69HOV(%zfH&ZW2Ss4JS@eYAhoQ{F$A{Y>FgH&{W08-IO z*D6dLqJ-j4(Q8coOmU`TOev-ulf^W`bc$(==?oLyD^$O4F;NsN*(B2x)4NR5Ocdj) z#d}QeGyR6?JQM8z)#6V~vrHG67MT9Vw8(UYsmgSXsmAm<({-jBOgEWUm~J!OVG`IM z%4hm6QPje;k!dqifN2ZUHYS~^jcF&-9;QyF{Y=j>eTS)w=_RH^Ox;YcFdbp)WqOUN zpDE6Cj48#GW3re=m`*W`F`Z#L%k&o01XD1POqtjpl>WOa#GsarCNsg9lBhgFB1fPJ zU3f&}7djSCtkeFC9Y^2g_0;%vj_#mSuXCyK%bZsGzB&zGXp{_^ zR(+aDhtfeuLr6Q3VkVW$;JPG}w1bOTH0`dKlw@t9_mbdS!dCP^e$wCaDZNPi%S9gF6b8cE&+Hr`RVFr0P~x7vaULy +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include +#include + +#warning code uses old leg numbering! + +void move_to(struct bot_double*, struct bot_double*, int); +void demo(); +int get_time(); + +static struct bot_double startup_position = { + {0,0,0}, // body offset + {0,0,0}, // world position + {0,0,0}, // body rotation + + { + { // leg 0 + { 80, 40, 0}, // base offset + {200,120,10}, // end effector position + { 0, 0, 0} // leg angles + + },{ // leg 1 + { 0, 60, 0}, + { 0,200,10}, + { 0, 0, 0}, // leg angles + },{ + {-80, 40, 0}, + {-200,120,10}, + { 0, 0, 0} // leg angles + + },{ + { 80,-40, 0}, + {200,-120,10}, + { 0, 0, 0} // leg angles + + },{ + { 0,-60, 0}, + {0,-200,10}, + { 0, 0, 0} // leg angles + + },{ + {-80,-40, 0}, + {-200,-120,10}, + { 0, 0, 0} // leg angles + }} +}; + + +static struct bot_double idle_position = { + {0,0,0}, // body offset + {0,0,0}, // world position + {0,0,0}, // body rotation + + { + { // leg 0 + { 80, 40, 0}, // base offset + {150,120,120}, // end effector position + { 0, 0, 0} // leg angles + + },{ // leg 1 + { 0, 60, 0}, + { 0,160,120}, + { 0, 0, 0}, // leg angles + },{ + {-80, 40, 0}, // leg 2 + {-150,120,120}, + { 0, 0, 0} // leg angles + + },{ + { 80,-40, 0}, // leg 3 + {150,-120,120}, + { 0, 0, 0} // leg angles + + },{ + { 0,-60, 0}, + {0,-160,120}, + { 0, 0, 0} // leg angles + + },{ + {-80,-40, 0}, + {-150,-120,120}, + { 0, 0, 0} // leg angles + + }} +}; + +static struct bot_double current_position; +static struct bot_double target_position; + +int +main(int argc, char **argv) { + int i,j; + int frame; + uint32_t time; + + printf("welcome.\n"); + + spi_open(0,33000000); + + for(i=0;iposition.x+frame*(target_position->position.x-current_position->position.x)/num_frames; + frame_position.position.y=current_position->position.y+frame*(target_position->position.y-current_position->position.y)/num_frames; + frame_position.position.z=current_position->position.z+frame*(target_position->position.z-current_position->position.z)/num_frames; + frame_position.orientation.rx=current_position->orientation.rx+frame*(target_position->orientation.rx-current_position->orientation.rx)/num_frames; + frame_position.orientation.ry=current_position->orientation.ry+frame*(target_position->orientation.ry-current_position->orientation.ry)/num_frames; + frame_position.orientation.rz=current_position->orientation.rz+frame*(target_position->orientation.rz-current_position->orientation.rz)/num_frames; + for(leg=0;legleg[leg].position.x+frame*(target_position->leg[leg].position.x-current_position->leg[leg].position.x)/num_frames; + frame_position.leg[leg].position.y=current_position->leg[leg].position.y+frame*(target_position->leg[leg].position.y-current_position->leg[leg].position.y)/num_frames; + frame_position.leg[leg].position.z=current_position->leg[leg].position.z+frame*(target_position->leg[leg].position.z-current_position->leg[leg].position.z)/num_frames; + } + ik_double(&frame_position); + for(i=0;i20000) { + printf("frame %d delay %d\n",frame,frame_end-frame_start); + } + while(frame_end-frame_start<20000) { +// delay.tv_sec=0; +// delay.tv_nsec=(frame_end-frame_start)*1000; +// nanosleep(&delay,NULL); + frame_end=get_time(); + } + + spi_update_servos(servo); + + } + + memcpy(current_position,target_position,sizeof(struct bot_double)); +} + +void +move_to_parabolic(struct bot_double *current_position, struct bot_double *target_position, int num_frames, double dx, double dy, double dz, double a, int leg_mask) { + struct bot_double frame_position; + int frame; + int frame_start, frame_end; + int leg,i,j; + + double t,d; + + memcpy(&frame_position,current_position,sizeof(struct bot_double)); + + for(frame=1;frame<=num_frames;frame++) { + frame_start=get_time(); + t=2.0*frame/num_frames-1.0; + d=a-(a*t*t); + + frame_position.position.x=current_position->position.x+frame*(target_position->position.x-current_position->position.x)/num_frames; + frame_position.position.y=current_position->position.y+frame*(target_position->position.y-current_position->position.y)/num_frames; + frame_position.position.z=current_position->position.z+frame*(target_position->position.z-current_position->position.z)/num_frames; + frame_position.orientation.rx=current_position->orientation.rx+frame*(target_position->orientation.rx-current_position->orientation.rx)/num_frames; + frame_position.orientation.ry=current_position->orientation.ry+frame*(target_position->orientation.ry-current_position->orientation.ry)/num_frames; + frame_position.orientation.rz=current_position->orientation.rz+frame*(target_position->orientation.rz-current_position->orientation.rz)/num_frames; + + for(leg=0;legleg[leg].position.x+frame*(target_position->leg[leg].position.x-current_position->leg[leg].position.x)/num_frames; + frame_position.leg[leg].position.y=current_position->leg[leg].position.y+frame*(target_position->leg[leg].position.y-current_position->leg[leg].position.y)/num_frames; + frame_position.leg[leg].position.z=current_position->leg[leg].position.z+frame*(target_position->leg[leg].position.z-current_position->leg[leg].position.z)/num_frames; + } else { + frame_position.leg[leg].position.x=dx*d + current_position->leg[leg].position.x + frame*(target_position->leg[leg].position.x - current_position->leg[leg].position.x)/num_frames; + frame_position.leg[leg].position.y=dy*d + current_position->leg[leg].position.y + frame*(target_position->leg[leg].position.y - current_position->leg[leg].position.y)/num_frames; + frame_position.leg[leg].position.z=dz*d + current_position->leg[leg].position.z + frame*(target_position->leg[leg].position.z - current_position->leg[leg].position.z)/num_frames; + + } + } + + ik_double(&frame_position); + for(i=0;i20000) { + printf("frame %d delay %d\n",frame,frame_end-frame_start); + } + while(frame_end-frame_start<20000) { +// delay.tv_sec=0; +// delay.tv_nsec=(frame_end-frame_start)*1000; +// nanosleep(&delay,NULL); + frame_end=get_time(); + } + + spi_update_servos(servo); + } + + memcpy(current_position,target_position,sizeof(struct bot_double)); +} + +void +walk(double dx, double dy, double alpha, int frames, int mode) { + int leg_mask; + int i; + + double step_height=30; + + struct bot_double start_position; + + memcpy(&target_position, ¤t_position,sizeof(struct bot_double)); + memcpy(&start_position,¤t_position,sizeof(struct bot_double)); + + leg_mask=0; + + for(i=0;i=0;i--) { + target_position.position.z=10*i; + if(i%2) { + target_position.position.y=20; + } else { + target_position.position.y=-20; + } + move_to(¤t_position,&target_position,25); + } + + target_position.position.y=0; + move_to(¤t_position,&target_position,25); + + // lift middle legs + target_position.leg[1].position.z-=80; + target_position.leg[1].position.y+=20; + target_position.leg[4].position.z-=80; + target_position.leg[4].position.y-=20; + move_to(¤t_position,&target_position,100); + + target_position.leg[1].position.y+=80; + target_position.leg[4].position.y-=80; + move_to(¤t_position,&target_position,100); + + target_position.position.z+=30; + move_to(¤t_position,&target_position,25); + target_position.position.z-=60; + move_to(¤t_position,&target_position,50); + target_position.position.z+=30; + move_to(¤t_position,&target_position,25); + + + target_position.leg[1].position.y-=80; + target_position.leg[4].position.y+=80; + move_to(¤t_position,&target_position,100); + + + memcpy(&target_position,&idle_position,sizeof(struct bot_double)); + move_to(¤t_position,&target_position,50); + +/* + walk(50,50,-15*91,25,0); + walk(50,50,-15*91,25,0); + walk(-50,-50,15*91,25,0); + walk(-50,-50,15*91,25,0); + walk(50,-50,15*91,25,0); + walk(50,-50,15*91,25,0); + walk(-50,50,-15*91,25,0); + walk(-50,50,-15*91,25,0); +*/ + // lean back and wave + target_position.position.x=-40; + move_to(¤t_position,&target_position,25); + sleep(1); + + target_position.leg[0].position.x=180; + target_position.leg[0].position.y=150; + target_position.leg[0].position.z=-120; + + target_position.leg[3].position.x=180; + target_position.leg[3].position.y=-150; + target_position.leg[3].position.z=-120; + move_to(¤t_position,&target_position,50); + + for(i=0;i<3;i++) { + target_position.leg[0].position.x+=20; + target_position.leg[0].position.y-=60; + target_position.leg[3].position.x+=20; + target_position.leg[3].position.y+=60; + move_to(¤t_position,&target_position,12); + + target_position.leg[0].position.y+=60; + target_position.leg[0].position.x-=20; + target_position.leg[3].position.y-=60; + target_position.leg[3].position.x-=20; + + move_to(¤t_position,&target_position,12); + } + + sleep(1); + + memcpy(&target_position,&idle_position,sizeof(struct bot_double)); + target_position.position.x=-40; + move_to(¤t_position,&target_position,50); + target_position.position.x=0; + move_to(¤t_position,&target_position,25); + + target_position.position.z=100; + move_to(¤t_position,&target_position,50); + + for(i=0;i +#include +#include +#include +#include + +#include + +#include "servo.h" +#include "spi.h" + +#include "ik.h" +#include "dynamic_sequencer.h" + +void ik_to_servos(bot *); +int get_time(); + +static bot idle_position = { + {0,0,0}, // world position + {0,0,0}, // world orientation + {0,0,-20}, // body position + {0,0,0}, // body orientation + + { // leg positions + {{ 166, 110, 0},}, // leg 0 + {{ 0, 160, 0},}, // leg 1 + {{-166, 110, 0},}, // ... + {{-166,-110, 0},}, + {{ 0,-160, 0},}, + {{ 166,-110, 0},} + } +}; + + +int +main(int argc, char **argv) { + struct termios t; + int flags_stdio; + + vector3d startup_vector={0,0,-100}; + vector3d v; + + sequencer_walk_parameters wp; + + int quit=0; + int frame=0; + int t_frame_start, t_frame_end; + + char c; + + int rotmove; + + load_calibration("/etc/calibration.bin"); + + spi_open(0,33000000); + + ik(&idle_position); + ik_to_servos(&idle_position); + + spi_close(); + + return 0; +} + +void +ik_to_servos(bot *b) { + int i,j; + + for(i=0;ileg[i].ik_angle[j])) { + servo_pwm[i*3+j]=b->leg[i].ik_angle[j]*8.5*1800.0/M_PI+servo_offsets[i*3+j]; + } + } + } + spi_update_servos(); +} + diff --git a/legacy/linux/ikcontrol/Makefile b/legacy/linux/ikcontrol/Makefile new file mode 100755 index 0000000..faba576 --- /dev/null +++ b/legacy/linux/ikcontrol/Makefile @@ -0,0 +1,23 @@ +CC=arm-linux-uclibc-gcc +STRIP=arm-linux-uclibc-strip +STRIP=echo + +CFLAGS=-Wall -Os -pipe -mtune=arm9tdmi -march=armv5te -mabi=apcs-gnu -msoft-float -I../liblicks/include + +OBJS=main.o ../liblicks/liblicks.a +BIN=ikcontrol + +$(BIN): $(OBJS) + $(CC) $(OBJS) -o $(BIN) -lm + $(STRIP) $(BIN) + +../liblicks/liblicks.a: + make -C ../liblicks/ liblicks.a + +../liblicks/liblicks-fakespi.a: + make -C ../liblicks/ liblicks-fakespi.a + + +clean: + rm -f *.o *~ $(BIN) + make -C ../liblicks/ clean \ No newline at end of file diff --git a/legacy/linux/ikcontrol/main.c b/legacy/linux/ikcontrol/main.c new file mode 100755 index 0000000..36b5e50 --- /dev/null +++ b/legacy/linux/ikcontrol/main.c @@ -0,0 +1,57 @@ +#include +#include +#include +#include +#include +#include + +#include "linalg.h" +#include "licks_message.h" + +licks_message message; + +int +main(int argc, char **argv) { + if(argc==1) { + printf("%s \n",argv[0]); + exit(0); + } + + if(!strcmp(argv[1],"power")) { + if(argc!=3) { + printf("%s power {1|0}\n",argv[0]); + exit(0); + }; + message.type=MSG_POWER; + message.i=atoi(argv[2]); + } else if(!strcmp(argv[1],"quit")) { + message.type=MSG_QUIT; + } else if(!strcmp(argv[1],"move")) { + if(argc!=6) { + printf("%s move x y z duration \n",argv[0]); + exit(0); + }; + message.type=MSG_MOVE_BODY; + message.move_parameters.v.x=atoi(argv[2]); + message.move_parameters.v.y=atoi(argv[3]); + message.move_parameters.v.z=atoi(argv[4]); + message.move_parameters.duration=atoi(argv[5]); + } else if(!strcmp(argv[1],"walk")) { + if(argc!=7) { + printf("%s walk x y a h d\n",argv[0]); + exit(0); + }; + message.type=MSG_WALK; + message.walk_parameters.step_direction.x=atoi(argv[2]); + message.walk_parameters.step_direction.y=atoi(argv[3]); + message.walk_parameters.step_rotation=(M_PI/1800.0)*atoi(argv[4]); + message.walk_parameters.step_height=atoi(argv[5]); + message.walk_parameters.step_duration=atoi(argv[6]); + } + + + licks_socket_open("/tmp/ikcontrol"); + send_message(&message,ikd_path); + licks_socket_close(); + return 0; +} diff --git a/legacy/linux/ikd/Makefile b/legacy/linux/ikd/Makefile new file mode 100755 index 0000000..cb04a7f --- /dev/null +++ b/legacy/linux/ikd/Makefile @@ -0,0 +1,22 @@ +#CC=arm-linux-uclibc-gcc +#STRIP=arm-linux-uclibc-strip +STRIP=echo + +#CFLAGS=-Wall -Os -pipe -mtune=arm9tdmi -march=armv5te -mabi=apcs-gnu -msoft-float -I../liblicks/include +CFLAGS=-I../liblicks/include + +OBJS=main.o ../liblicks/liblicks.a +BIN=ikd + +$(BIN): $(OBJS) + $(CC) $(OBJS) -o $(BIN) -lm + $(STRIP) $(BIN) + +../liblicks/liblicks.a: + make -C ../liblicks/ liblicks.a + + +clean: + rm -f *.o *~ $(BIN) + make -C ../liblicks/ clean + \ No newline at end of file diff --git a/legacy/linux/ikd/ikd.exe b/legacy/linux/ikd/ikd.exe new file mode 100755 index 0000000000000000000000000000000000000000..c31956e5dc7ace82dd6aadc6a7e8bbbd7ad1df51 GIT binary patch literal 35458 zcmeHw3v^r6neLV!i2#EQ80wZxBd8P1BaV|eiGj2zjs;0czzs=nF2u;PB-X4)>Y6;-n9!PJ0r7S0NJIuVj~6bCQqZNo_+ zKSpsyiUJy7$Gw$IVCTWV*$?8(IZP6&jU%LvIR=U%VIPhu% zLNNDD96TgH zN&JlzF(2StER=bS$SIt}*M!q@RA@OZ$?rwj`oxcWPu29EIDKsU+vQ(&z1==1b-F#_ z689J1T1@xHy5AltsUE)6$ub|lhOv>RYCCxjA+K#nqiE)1)1kw$T=esc}%YYBVC z3ZdWo4D1R+FPVRLiNJePT0OYBx&);&`M^0K-~F*2r>M8f%)J`!ca1R|Bd&1~oDe~V zg3Qw>AwWAIF!Q^axQF!Ak4|tmQ2P1{ad{1wV?Rx|4AEsLU54rM?1*dN{a{L$)-*X; zx+Om7igT}C#@IwV`hm<}12N$`MFOC0=B0~-FuFfig%a65#$uPK)O(QQ^BaZ;4^x<+li+ehE`NG9{I7XWzJd5s|Fj|3n3(^v?wJd?BVLYVk%5zoI0keUBI zJBK~P*^d&7ix4 zk|%Ko5+^g%Dx(lFmuqD&t(QP2s9H{^~rw{03*3#+Q3J1NqW0v@Gd*Essg- z$fWPXauoAjR8JDfsw=H3!QDtrxCW+Bd>)By8JZ%soTu)bBK7B(PNAt!k@|g}`mB+v zYe;T=9$d{oEU6y!RhOWL$h;5Jlm1lsr|vk1Cb>Ps%KIizc*!*xqNEDM}nZcy@N;``5jkc)AIx$$q*X94{*7H?~ybo7cURc#4Rjr*p#`V~@(;KVnk9`Z*8;>2qwHoIvoRv7s$fk8D0)!=#qC|Kv z@Fpfjsuy?!W1H->CSC+ja{Xvx)RwZ19vm-8$Df4^D`i-t?9?bj8f8GE#6jWOH*oFi zxb`*B+JUnQX9aptR4;DC!+DIj#$?1-&_&5EwzT|{H^G%@S3K={HtiZtyLP5sL&siC zx&{DRckG+ETEr+Bd-KTn`UkR(S`QhSZWNE`w?|6*9&*B8c$CScg>h7)p|>U{GtZL<>Oc9@$&N!b2LAVZ zTLwMnUdE{S@w*f3lIP zzw0z&r%`q_h-Lm6F6cc}ckg{IWOwhWD&@~X*I62&Z-+Ta*V(|Rv#}gE=LSoPXc__D z9j6AMiegW??8NQ>d%|_Dcl)`?SS!-(t>77S?L^6!AUCm;a+b5b+t0T4{8bJM3XzP= z9Kn!(@^ZpNJ`h*J9huialo8sfV0wH(h$q zPWDtGDNMjgB;lTNW&R%boI^_9LJaqS*gjIa3mJ>p?k1mZJ7je#($J^*-_k=dlY@py}T!B5HQ^*9b^@?>k5^bgbk$qCd2^KLTan=am!{lMNjU&(h@hO*W17T#6uD4~ z4v+@Y64W01fa@HO8Pbt6C1u)B$Z)_AIrB4D^6b14&L#L(;E-a}8e|Rn8F=JvA8zwV z!9#$O$?JRXsfrQTIa29lC2}4dpD_}T1CWkh*Euw*bKXbZkU$NRb>b&T72L^z0U;ha zlS7YZ@8f;APpq!4NUWjc@)HK1pb{S}&Le zhsb7AJ?xwTt-H8D`{2qt&L#b0@b;$aPJd20Mke)8r{e%O%}53f;a#)5WLJP=vY3I8{RHfO0H# z{GduD4Xmlh-#V5#K9O$8q+OY@H5o*Hgoxlz$M=CB3CDkA(USXg&~+F!x(s!O_kIVV zh|J~6q<2zAM9**gG#oD#ujjT3NnFb77sK2yqJI#ggt@45{Yw8+n@=`1(uFr`;c3LvO^OlWqM}JqMd@vh`C;OyW*> z%RjmQx0uQt@~M%iQuep1hpv}>uIy)e93no#t2}i^B3??4LVtSlQq^@m^2&(olwdd| z`|_I1r_q~|YB;;mp3C0Wvfk*6##s;D|Yd|5TN1SA(xZ|@cPP0>8l1hW$o^&=O zTxW4J;w(condEnz3G?uz)1G*Vpi%3HwI<;j!`hgwyzfQ8;I62=@1Iagv|d);|7jSE zOlc=vL)YU+cnEhPR(1Rc9v?Etj=VmRJ{m>YfmTCLFk|Qoj0f@322>NlQ~ua0jt9kR zNM|+0sYe8s^p4y5Qn5P(4jf<^ywH7?4Z6lvZKJuQ8&wU=gUuBpLH20lR9R>>_@sn7 zHeLYOWz&FN0kEkd%wkVssSqk9o6*&CU11hf<}Bz2sqix6SdK8PZJ@=?ak^y4Zgy-u z-E#VT2rZ~Gvb(`k*h^>%6Q@yR%AF6J-T44{2s4i<-ARKUAMNG~-P6vMq=~ZzWs4!k z@HpMVvHusVo&=fC=|4fuJ=tBbz&O~sC_|tw?xAVKIVt}%c40y#m0l+#O1;0Nt+<9d<%wcG1EW?%6>8!P z$~$k_hYBu=|KyWsl=?J9aaz=mye0oU@}DeR+#w~dMwEa4szxjNWI?{F_9!6~RQ4N$ ztZB=B4Hk6%uqOFUApD_a|2cFsdCMM?1Jz}oyinXz!E@i#;fg7PdU_~DKfry;J-cBg ze`A9u`MYh>_sL7Gis7ZkaNTV>N~o5QP|VYWC{|3d64jg9nyxxI zPq3r!HD~x|E>&j-6aHhZjRXwQ7?^&V7UR?XbUpSP@g5}2UopI%d9Wx}DN<-;{5UYp z>0|y;yxYR!=hzxtvG}=@FP-uETk?jArMTHE^qMTQ%Br0+7!D0c6ak^dw! zo|TcK6nRcYzDALKGV)nOl8g6K>{sP|af)4hfMQ3=``U={1<80jMMzSjh|5ScmT>q) zqn#rs8p}9nqOoE(!6h0icN0*ev5I3P8tGR+3`b@ycV<0vl200Z7|cgjEMu({IA6o- zl9Apgi4Q+EO00Mo?oel1vOKDhkph8Pt zATtj+%G^vBAwO z*;u)U95@kG@rpfES`+J4ylf8@~%AM8#^IUfRbq?BTq z)=i!~D9bOg9!>Myh^q{>geBJ4k4#($MuUoC7gTyfO}`ggkV30?GPU4`iHpgCky0{< z6iQq{HtwOOLS5y)B~F%rGF?c*`_S^IUf#nsQA!U<`LOrM#7t-;d(6o>PILxCL&(Mt zq+9yW$vGQ&`sAF|GK|Zxj)%&BE!-ue(1wP1_&JIv@I;GU7)x|?;72CrL5R^;)6L|Z zWgJI}XX|;DhZ1nKNN*``|cf{Wjh{pU8cRRj8@Vf)S_7LMt_s_dYuzYm0d#z+z(dCIo z{ZVA|`SWFDY`#C{o$vK@1==E>SRfRvZ3_h1O4sVU91*|QAK2{ovE?flu3yL==#Sgs3e7lD$!l3lCk_^eDK+rrv<&Z@5L_UZU253nA*+{tdc(t1X( zaI&$>thC`uYrF>e?#Po@Va-BX_NbSUt3~M8<*b_5wC=Z8U*PNtd3a?@RY}gwN`c$R#>7T?W)Jfb$85lRbm*|A2PW(`%o(?e!;aeW>z_xBuwDzFUbOA6hth zz+`=Pwo1ReCDTRfd)N0o@aN`%=6F`4$MHl_wu7-VCfYD)`#@uK%HsfNFPP$rPT^tD zkK#Om^9;_CNAdL!&MKS^oHaP>a4y5ygmX2{R-7@MeK;S-`6SN0IG@9L0Oui`qd3QK zW^kUtc@C$&7uYzfa8~22!?_G+6V7Iw8*%z@hH*ZOa{wnDLkORf_lFQ3mG^(iy4PV0 zYY%&AJPWjM^RZhH@AhD|SCoeRkw}QlWmTo&5L9C|rQrzFqT!QmFAev^U~jUNMk~gipimn66z;v7+asZF z#(rEH^#^^i5an4oBg!3o^NeUvA>A@Vj&Ml7ZASEg2sH7`h{hsaeqI(~x{rk*fw9fv zo?OCa&)gCT#Qf}InkOUA@6dZFX9*9 z{INI${TZL4_K1mGCG?~-XMV?OK%?^x*V;Q>s~0WgQ#$tE@%wsUAu<;6%=BgEt4O;?saZAtK*a=6Xo}n^j^L{M-O}y<%|C~N^G~^x!$qDQD3{Lw%)O@uI{F~ zhPrx3O~l{j_eA~IITkS6{ElGHd^~T>m&EA&u*bW}(-8=E%;)*$Eu!T5c`6c(c!?n5 z^YIi#nQLn~hKMb!^|E&i+tw54^34w{X;?BZ?42k24T;WnVg-m!I*Lk4(ZM5_*%OQg zI)Z*5zC%a6Xr_2Fu~Ka_yq%s1E3%LOE5AD zEd(pw7njV0Qk>DkQ(`ME`IL*&Y`J!8RWTwrw>T(;X_N&>d%je_QeHYY=9YWET%Qift1{Wt-Ahv`r|mk^0&7{z&z)ovujQ!*7#djO*!W3*w(+xYgZ!-ny` z8#9i1czkTbLT(T<2pk=B!BNalcc|@t9>s+t^T%%DtT=(Ie&i21UdNZ`= zJcjKe7uj_Z>6JLMhi*GMPp+AXNAAQz6k)p~n<(#UBVrXa1%-tV@6&jQ@MTFAw%6Y8 z<AHGl&?LwbcQ>wT%>;jLlD-VyX z2`j-MaIVpDWGUZ<6k%6az`H4`3eB+ZEu?S7pgdijFM}>^7|F9`IvbS7ER;o{e8xh# z6_g(v6y+Zwi~K{sHKpKcO;h>-`oGL{xnqHp*)l)R`7GRbCH*D#|OcDYrVi1DklBW(=QG)n{dw z;32zy0lRbhOt}wPww(@HbfJjRPCsz|cIs54u+2ePEr+seHOgM~V^}%v$hGaO(6Z@+ zQ`<$LN5Hd5@o*{s2Fe#KlpllgriDV=`OKZI%AK-RU_2SNQ09WN%3G{48`PKfj4|Gzrt8>d!DVz<=cKhsJc4N^&weP_br(Mcup6yDhlAKDuBmP08dQ;Jaq-|G!($&EP!Wa0X)qG@N6i6r?mi{&H{MC1@LSw zfG1u6&p-h@Lj~~cEP!Wt8XoG2|GpS~Cg+)cOc6ZPPR|wLZMvls*ZXh>(sQ0+>Liu-4hAfoRpo~joI0wfJjP@xD&!wP@;NuC4zo#YU1<>%c(lC#I6pr8_oT*3iLUbNAbT(8qZj>x~&?i-< z8y)Ng@KhAQQ#B0_DYhE^ad4jeEydka+pu`aB4*fS)Mt^4tXKpbz?hDAQpyIhjn)mV zhw@nKd8}|le0Ulw6pr8_ovqInB=w!>9LF;wS)8}_%Gq*!8l9Cs1ByqNo_%IivW$LX zA4FUFgvIo2lZ8@G70g0eatQ5NQly4MznAp=n)Fnztoo8%%95j5>2j@!)``S3Y`bTZ z-&*dSLHt6QNCr-Otg|PG2X>RC(u$qR3h?G0?^>DiJ-@3LLX_~K4T~YUtJZ=?_q@4u zr0t#4*skivpU|#)yYjP*YPI!{PmzmhO^Vl_sd<(jP<6wG%~x^`wRS;ke`@W5e)K>; zb5O^h(sq)$Nt$cNeX*@msbLAXNgi5ZDz?#YfoM)|J^CdNmc+sHm^D$xo{&70yVyo6 z>~MtXQ2wD6I^iGF+Z_C|PPu8f#6g3SHIVv)Rul~%PdVa?pbYbN;eafY5Yz4Vz_U;B z7`?j4MHC|wlG#tBzLvPP48rFAdI1UY`OvAZR!wG9RwBwFN*3t?Mr%uC(X*l$oMJrCFhEuQM zY}Ig;fQIALa9TB-y&BFT4W~)N@o6~EYB-}B4!zyB zl+NN#4d*!xXH3JP=RGSnVHTbx84agd!wG9R`!$?18qPWmC#K;X&~RwA#-icU z4H^#3Gc7#zFK9US+|g?3Mh)j-4JW1H&^s`Ttfj3QPF%w|q~TO)I6e)hPs2H^;Z$ol zof^)7hV!b1Q>Wo{X*iE-IHMZQG7TrJ;S6awuW2|<8cs~Zc~ZkUs^K(iI9oNGof^)V zhO<$_d04~QrQwWgI6e(0uHg)8I43lmu!hs8;q29LG8)dq8qR=*^Q?w*O2Zk*#i>7? z%d_~oT%4tk=gO)-r{y}JHW-VNhrndI|*3KjC(n zh37CO;-J4sCwP7difV&`@;33r%{*7qLnn~4x#r7<*evB_Ujm-zIJHJfn6C)DCi zjlwC$gS*4H zw|t-sBT5w%(*42Bfk-H*-kykDi@}q!Ag=*MtW_$z+@NG@9sfoX1c#*rAF%T94?W%B zGS}W6;8F7gA$^c?S$LiSMZC9C(x2lzPE#xR8Yn9*I*$;K#baquHW)nk=^_6xv%;eD zPr*}V@Q@z(1CUI-<51EsqeUhh*_QheNfD(?$n=?~cps;7Z3K@R8HJ_ygHj0`Q5J+4 z2ZjEcBBe`jfRd^aH9}B614@@g!!LkBe={*#%FlyhDjoT`t#~I6eULlf@eRs_BWu_1 zKvAjm-D+^o7;=|y{ z+DGX#`J3QT zP}Yr_duz(ARh;%$wK)#C~*sg zelAvNpXU*#?Tw$VHS-fKqRvJPFExh4Kt2q+-?^Un7cTg#9+>ahiC301CB!X{r36+iCH0 z20XhAS#I$GHWR;aQ%7Vtcm ztqJ0@cqV?&rlj8v9;XHQK0>zGz6q3Js7BhM4SGYtc9N8(h!4-1_%)qEjuQ@!tXIDR z%4& z5m1If5&94}{arwH6!ABhx^ypi_8WdC?l35*s-}LvU*cq?@75?^krYBG;%{@TvZ(FQ zhx>7(j$tkl^xRMU;wZAwn}2IAN})gBqK+aPnsFYU6X2<`AaAiC<7dw~xu}owfm)Nz zCALmromq<5uz`tRY^pN-1!TQoc+4%gE2uMak*-DZC*fI-Et8aDN+lL{A1DWmxeZZb z#AB(weW0WaS;X@xi9`2AHgb*CV>CnaSY~LHA%{n7Ho+P!I`0Kz9~i~xuphBnf2Y{{ z32&SIZ9N_Ca8Db(XpO2k-x5ludD_|{{>>_-3;Rnj{?*Hhwd?MVc_Oi%FmwO6KyVGd zmNApuZr4ipZEKgWak(2^x39Vr9j@E${^$zhvw6hu(l2MX2I3)V?p{+SmECQj7zXNS zfcBbV`0=(o7Q%xAwnM@uRoE4S4>!!6yW0{d{7Vn9_k@@!T1kBHw~~ZE`C|zb4R>v0 z2>6~>>WdLPvxEZ@jqX$q-1da=gK)p08l_@7=I+9NSUeMt;Ir`vJ@;U5te_WLas`6e z=ED^4!cJZ0xUZ)h{?78_?}p2wG!cXTEg0is*lf#0q>Y~7J8bl1B1S?n(s2s1&)=aX zaTx3pl?NqmJAnkVA!?&*q|sNP5@if?_a#BNV464;tcg=5@XO<5^!7EbX8 zP3htTd%l4T6cu~Cgd=|Xh79}8SP2Vq2@7)xi_i{Ch_Jl7I}~&_Q1ig1Fx1xIJNUux zYsUUG@^&pYA)qE962v|ySs>a_hF1#b0?4Bnb`$e&%`yZ-K`GP9>JIw@iyD@=QPpTB zhZcbOFLs!LT*>c=8JpR-n}m8KByR^|?pk3>Q<=zJlwSP4{alZ zaRlzhSOJfsqZ7)QKkawBgWUi#?Gz;Q(yT__@~N)8m;2htSpJ=AfEVkEnj~^%RKa9- z4XWMZ_iU;KH_gdu$lY>3VpCKajzJS5UVYrOM}xa_!IVQUr@R3p!I(DESh_6d%m8C&5&G8y^#>_Z0<93qZUbpt|#ImLy#)C%JPs z406^ZBfPEeooJM&RuOq+cf0Z9(5$vXxOFQk0GoHFLUig1s+t8aQ!zQsI=j>F31Hh9)?op^4Q;w;QE_9UtZPo5G>s;XR6~pXQB(lxM^) zT%y`2KH)>J^r4}0-Wpe%ua=5}77B`)j>${Zp?Ar4t0WoCOBzM{bfIFJ6*kn!(<;uZ zgxXNe1R^>z&;4ufxI1=JFcj;IgtoY2Q$` z4pBE_=DuYW^$?BdASj +#include +#include +#include +#include +#include +#include +#include + +#include "message.h" + +int unix_socket_fd; +fd_set select_fd_set; + +struct timeval timeout; + +struct sockaddr_un localaddr; +struct sockaddr_un remoteaddr; +socklen_t remoteaddr_len; + +struct licks_message message; + +char *ikd_path="/tmp/ikd"; // might move to a header + +int +main(int argc, char **argv) { + int l,i,quit; + unix_socket_fd=socket(PF_LOCAL,SOCK_DGRAM,0); + if(unix_socket_fd==-1) { + perror("socket"); + exit(0); + } + printf("socket %d\n",unix_socket_fd); +// localaddr.sun_len=strlen(ikd_path); + localaddr.sun_family=AF_LOCAL; + strcpy(localaddr.sun_path,ikd_path); + + unlink(ikd_path); + if(bind(unix_socket_fd,(struct sockaddr *)&localaddr,sizeof(struct sockaddr_un))) { + perror("bind"); + exit(0); + } + printf("bound\n"); + quit=0; + while(!quit) { + FD_ZERO(&select_fd_set); + FD_SET(unix_socket_fd,&select_fd_set); + timeout.tv_sec=1; + timeout.tv_usec=0; + if(!select(unix_socket_fd+1,&select_fd_set,NULL,NULL,&timeout)) { + printf("timeout\n"); + } else { + remoteaddr_len=sizeof(struct sockaddr_un); + l=recvfrom(unix_socket_fd,&message,sizeof(struct licks_message),0,(struct sockaddr *)&remoteaddr,&remoteaddr_len); + printf("recvfrom: %d\n",l); +// printf("sun_len: %d\n",remoteaddr.sun_len); + printf("sun_addr: %s\n",remoteaddr.sun_path); + printf("message type: %d\n",message.type); + for(i=0;i<32;i++) { + if(!(i%8)) { printf("\n"); } + printf("%02x ",message.char_array[i]); + } + printf("\n\nsending reply\n\n"); + message.type=MSG_REPLY; + l=sendto(unix_socket_fd,&message,sizeof(struct licks_message),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_un)); + } + + } + + close(unix_socket_fd); + unlink(ikd_path); + return 0; +} \ No newline at end of file diff --git a/legacy/linux/ikd/main.c b/legacy/linux/ikd/main.c new file mode 100755 index 0000000..8ae21d8 --- /dev/null +++ b/legacy/linux/ikd/main.c @@ -0,0 +1,232 @@ +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include +#include +#include +#include +#include + +#include "servo.h" +#include "spi.h" + +#include "ik.h" +#include "dynamic_sequencer.h" + +#include "licks_message.h" + +struct sched_param sched_p; +struct timespec timeout; + +static licks_message message; + +void ik_to_servos(bot *); +long get_time(); + +static bot bot_position = { + {0,0,0}, // world position + {0,0,0}, // world orientation + {0,0,-20}, // body position + {0,0,0}, // body orientation + { // leg positions + {{ 166, 110, 0},}, // leg 0 + {{ 0, 160, 0},}, // leg 1 + {{-166, 110, 0},}, // ... + {{-166,-110, 0},}, + {{ 0,-160, 0},}, + {{ 166,-110, 0},} + } +}; + + +int +main(int argc, char **argv) { + sequencer_walk_parameters wp; + vector3d move_vector, rotate_vector; + char *endptr; + int i,l,d; + + int power=0; + int quit=0; + int frame=0; + int query=0; + + long t_frame_start, t_frame; + char *sender; + + licks_socket_open(); + + load_calibration("/etc/calibration.bin"); + + spi_open(0,15000000); + +#ifdef LINUX + setpriority(PRIO_PROCESS,0,-99); + sched_p.sched_priority=99; + if(sched_setscheduler(0,SCHED_FIFO,&sched_p)==-1) { + perror("sched_setscheduler"); + } +#endif + + + sequencer_init(); + + wp.step_direction.x=0; + wp.step_direction.y=0; + wp.step_direction.z=0; + wp.step_rotation=0; + + wp.step_duration=25; + wp.step_height=20; + + sequencer_walk(&wp); + + while(!quit) { + t_frame_start=get_time(); + sequencer_run_frame(&bot_position); + ik(&bot_position); + + if(power) { + ik_to_servos(&bot_position); + } else { + for(i=0;i0) { + sender=receive_message(&message); + switch(message.type) { + case MSG_HELO: + printf("HELO received\n"); + message.type='A'; + sprintf(message.parameters,"AH2U2"); + send_reply(&message); + break; + case MSG_QUIT: + quit=1; + break; + case MSG_POWER: + if(message.parameters[0]=='1') power=1; else power=0; + break; + case MSG_QUERY: + printf("query\n"); + if(message.parameters[0]=='1') query=1; else query=0; + break; + case MSG_BODY: + d=strtol(&(message.parameters[0]),&endptr,0); + move_vector.x=strtod(endptr+1,&endptr); + move_vector.y=strtod(endptr+1,&endptr); + move_vector.z=strtod(endptr+1,&endptr); + rotate_vector.x=strtod(endptr+1,&endptr); + rotate_vector.y=strtod(endptr+1,&endptr); + rotate_vector.z=strtod(endptr+1,&endptr); + sequencer_move_body(0,d,&move_vector); + sequencer_rotate_body(0,d,&rotate_vector); + break; + case MSG_LEG: + d=strtol(&(message.parameters[0]),&endptr,0); + l=strtol(endptr+1,&endptr,0); + move_vector.x=strtod(endptr+1,&endptr); + move_vector.y=strtod(endptr+1,&endptr); + move_vector.z=strtod(endptr+1,&endptr); + sequencer_move_leg(0,d,l,&move_vector); + break; + case MSG_GAIT: + printf("gait\n"); + wp.step_duration=strtol(message.parameters,&endptr,0); + wp.step_direction.x=strtod(endptr+1,&endptr); + wp.step_direction.y=strtod(endptr+1,&endptr); + wp.step_direction.z=strtod(endptr+1,&endptr); + wp.step_rotation=strtod(endptr+1,&endptr); + wp.step_height=strtol(endptr+1,&endptr,0); + break; + + } + } + + + + if(query&&((frame%10)==0)) { + message.type='A'; + snprintf(message.parameters,255, + "B%4.2f %4.2f %4.2f %2.3f %2.3f %2.3f", + bot_position.body_position.x, + bot_position.body_position.y, + bot_position.body_position.z, + bot_position.body_orientation.x, + bot_position.body_orientation.y, + bot_position.body_orientation.z); + send_reply(&message); + for(i=0;i21000) printf("slack: %d\n",t_frame); + frame++; + } + spi_close(); + licks_socket_close(); + return 0; +} + + +void +ik_to_servos(bot *b) { + int i,j; + + for(i=0;ileg[i].ik_angle[j])) { + servo_pwm[i*3+j]=b->leg[i].ik_angle[j]*8.5*1800.0/M_PI+servo_offsets[i*3+j]; + } + } + } + spi_update_servos(); +} + + +long +get_time() { + struct timeval t; + gettimeofday(&t,NULL); + return (t.tv_sec*1000000+t.tv_usec); + +} + diff --git a/legacy/linux/ikd/main.o b/legacy/linux/ikd/main.o new file mode 100755 index 0000000000000000000000000000000000000000..e6d9531801e77880a035a8a99c4585c81bb94666 GIT binary patch literal 5516 zcmb`L4{TdU9mmg!lVu~TOG(?XmDXLIuH6zhOS93TYIq?}RFFZS3v6`ht7AW>R{gKG z(=`&=Qrti+Ds55N43LI&cd|UFm?%;`;_?Y#?8u_ z_u1Xfs8dMX4w|6fZV-SDwl8V#iA0K2_$^I?%#pN*ivw493ki?w<8jBjU5S zF&0MDDlzeNo9%}^UN5Xt;9HAFyP%pj+c;^FswHSCV-^N||BxFCS z;IX#qv5MR?+u7>rt`g|Zu5Z{8KO#Vl9_!O<96$#RO+dZR$RGQ zcBuY8V<|gYn@}#^tV$7Sx#hqdzqvu(s} zGh>I~eHltxe)!b|Jdy($W;vS0H8l z?Z{0Gk)4>SsN`Tu#?NhiCw`2?*h#X?GW1h~%Rj^EswXgf>8eg{cX8|Bb_ci3u&MXb zPuW^ie}ZjHorSgGT8FC+S1t9a6<)ZT7wLYJT_C?{WlzE<(KQvHG9^t@6Eo)Y;BbjD zSfU&*Q9>ok{t{&$6yEwBy!Fkz^;^(tE3SH6E3t!OdGSs>1?S~Dk>6sgEYCfRp-d}- zX=OaEjHQ+1X=OBZKA{XFXmjcuY*96O9Y=4Wj*K7EBlhh{zR{u#d-K(YNSya3GuSDA zVa~^)EaO(2c|evuGBCg4Ym7ZJ7gJ{OTA0By8oj=_$X6FPZ&KkSr|BK|fyzD+ALh|5faZiLOg=^KZ#JrsUA`T!rPiHd_A5Jbuk%?zZ3;AA;EF<~@76 zso$}=dYXGGHKJV8-_Qx=ns>_4W?>0sVZ>Z<_SEPodHnCGHCZ-B((T~+gL}litg|sQ zU(P7qh?DLlmv1$G!C#cSQOp}^`Fd`cXAlehO&Zi2;x;Yn+U9clyggxO)Ef-gd%OX* zOX>K!6=y)rdq{J$M|ZV!wXlPITDYI}I=xZW*3fEi@mPy&i+wwkf~Cqj8r;QBqF9T) zmAmSeeYu<;l|iicv5sHHdNN)Y@aXPbqBCelpJC*`vc$)j|6Sx2QmaGoMsc^k=%{aM zfBch;w>zv&7^B{RE9m!sL5;ZfYi>2v*ixiMy?!m&7j0}=gCL*5RmVOLOQ-5O_6Y2q zxT@KgVC%W|ZCEE@8UE7EBq3mySer=SUUDsvzK7kaqShUy$bpq*XUIs{Qe4} z<7GAbJ8ToKI%Yyo=@4JdszDpLM!SX39s-GazbL64bRYNoiuBtfb9sT_JTHXzh@M3INc1BI5|OWiMC7$*J>G+oc1t=a=>?FD zNB$*fJ*O3TRf%}3L1I?#mb6jQy^@+FZI|>UNVIf6NW}Al8h9O1sr7@zY`p*ywf#!c z+mhasbVbs?B(1O3Yik0D+FC#jytap>_6TS**S-f5?fa3;eI6uAoRHejL7RDr*Fc{J zRkL%FQj#u%?&W?}__MZ!(;c9#oc4m~zib`*2}soYqNJB3{T@Wma5b9)iRb9f8vQwH z0@-<#aacIO@7I#(X%rg0;RVsjK+qo~B_*AfbVky7NmG(8N}85*Nz#m@SxFg5bCTvI z(K$r4>YAhlNpy@6xpt4&r$MLmADl>gO@600V0Q_nV6k+0!o!A5SS6>tV#0G+0Y!^M zoV`309ueB8kyhcdq9Wl#iWOHq##kuEZzX6Ft2DJC&kZUh<98BXyJ?VIi<0ph39sFR z3YH|}_Yvw%rHQ_f(EeRW#&084kSk5JqKcE6e-oggJfZLTH%4KMRMj{_7@v~Xh_bZ1 z=!yEq*n|2{+=bFE6&hzs^kxxBSG>BwbfxggNLOMdK0hu}RPO=#o90Q>H7+$>dAmfR z^(>O^^Pw)tIJ62yA|Evp4M&6eJQoz7!H2Nclow>2H6_YDB}!9?vZF{*Lw(WW@xki# zyF&d-YVRm2MVa(|6iM$Xy%P%xoj25;U{nnSBVIaiF^sAj4XP0>d?*-UYOfaM>jxu1 ziW+*_uVc{TiD+n->hrn|MAS&obpX!6kcJ?>pwq1y&T%Lc^5*j*8V+v(mljsN0UX~D zSpWUB(|5r5JKPsgJz=L`TT(|T=<_i(eCF*ROY@utrxC= zP}m!YdZ1%NL9+ZBJfPRZqy}b4DUwPrqAu_l59{Qxjt+ NMw35`9=Eff{TGo{whRCO literal 0 HcmV?d00001 diff --git a/legacy/linux/ikd/message.h b/legacy/linux/ikd/message.h new file mode 100755 index 0000000..2c723e0 --- /dev/null +++ b/legacy/linux/ikd/message.h @@ -0,0 +1,15 @@ +#ifndef LICK_MESSAGE_H +#define LICKS_MESSAGE_H + +#define MSG_REPLY 1 +#define MSG_CHAR_ARRAY 2 + + +struct licks_message { + int type; + union { + char char_array[32]; + }; +}; + +#endif \ No newline at end of file diff --git a/legacy/linux/ikd/sender.c b/legacy/linux/ikd/sender.c new file mode 100755 index 0000000..9960fe0 --- /dev/null +++ b/legacy/linux/ikd/sender.c @@ -0,0 +1,79 @@ +#include +#include +#include +#include +#include +#include +#include +#include + +#include "message.h" + +int unix_socket_fd; + +struct licks_message message; + +struct sockaddr_un localaddr; +struct sockaddr_un remoteaddr; +socklen_t remoteaddr_len; + +char *ikd_path="/tmp/ikd"; + +int +main(int argc, char **argv) { + int l,i; + + // create socket + unix_socket_fd=socket(PF_LOCAL,SOCK_DGRAM,0); + if(unix_socket_fd==-1) { + perror("socket"); + exit(0); + } + printf("socket %d\n",unix_socket_fd); + + + // bind to socket, localaddr is the pid + sprintf(localaddr.sun_path,"/tmp/client%d",getpid()); + localaddr.sun_family=AF_LOCAL; +// localaddr.sun_len=strlen(localaddr.sun_path)+1; + if(bind(unix_socket_fd,(struct sockaddr *)&localaddr,sizeof(struct sockaddr_un))) { + perror("bind"); + exit(0); + } + printf("bound\n"); + + + + + + message.type=MSG_CHAR_ARRAY; + for(i=0;i<32;i++) { + message.char_array[i]=i; + } + + remoteaddr.sun_family=AF_LOCAL; +// remoteaddr.sun_len=strlen(ikd_path); + strcpy(remoteaddr.sun_path,ikd_path); + + l=sendto(unix_socket_fd,&message,sizeof(message),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_un)); + printf("sendto: %d\n",l); + if(l==-1) { + perror("sendto"); + } + + remoteaddr_len=sizeof(struct sockaddr_un); + l=recvfrom(unix_socket_fd,&message,sizeof(struct licks_message),0,(struct sockaddr *)&remoteaddr,&remoteaddr_len); + printf("recvfrom: %d\n",l); +// printf("sun_len: %d\n",remoteaddr.sun_len); + printf("sun_addr: %s\n",remoteaddr.sun_path); + printf("message type: %d\n",message.type); + for(i=0;i<32;i++) { + if(!(i%8)) { printf("\n"); } + printf("%02x ",message.char_array[i]); + } + + printf("\n"); + close(unix_socket_fd); + unlink(localaddr.sun_path); + return 0; +} diff --git a/legacy/linux/iktest/Makefile b/legacy/linux/iktest/Makefile new file mode 100755 index 0000000..0b688fe --- /dev/null +++ b/legacy/linux/iktest/Makefile @@ -0,0 +1,23 @@ +CC=arm-linux-uclibc-gcc +STRIP=arm-linux-uclibc-strip +STRIP=echo + +CFLAGS=-Wall -O3 -I../liblicks/include + +OBJS=main.o ../liblicks/liblicks.a +BIN=iktest + +$(BIN): $(OBJS) + $(CC) $(OBJS) -o $(BIN) -lm + $(STRIP) $(BIN) + +../liblicks/liblicks.a: + make -C ../liblicks/ liblicks.a + +../liblicks/liblicks-fakespi.a: + make -C ../liblicks/ liblicks-fakespi.a + + +clean: + rm -f *.o *~ $(BIN) + make -C ../liblicks/ clean \ No newline at end of file diff --git a/legacy/linux/iktest/hexapod_gl b/legacy/linux/iktest/hexapod_gl new file mode 100755 index 0000000000000000000000000000000000000000..f5406704520e4a00b5df6c61aa51f6f38cbc27b3 GIT binary patch literal 18044 zcmeHPe{dAl9e)Q$P4V40P10W2e*BPO;i{93jC0s%@dgQCnxsD2&@7b}}SYYt{7g-F>_Jve`gF z|EjZZ?(Kfw_kDl8?|b{}?YsTcCm(!%8e?oK@)YDVke{8#*jDU)$k!lCQf$%E=*sA_ z+fXo5$OL2eB=-O|lGGAi(IV87Of@fKPj+ES&qS4^g1oUn$Vg84N59J0#wx}hoo@JQ z@-aW_HnAinGU>dn#Hk}x%UA@=p^F&A+!J)<3%O*vomV?`#Gqq7>SEAAF*B2hB`K9G z$hmlGp;7D9v4#7w#i4`x!AzD8>I5XGj{VR zz}_4KrXERZjTho3Gua+1-%r)mcK=aPOwiGlYPBg&9sTg(YpCw89vw$pg6t+Tot>Gq zNptF8S2EVaD`_m5$!7xW3GYUhq=u&0ZOd8!jTFI-VgNsAPcfiu_M;?aGs!f1F%Ke= zoBRx_fV?R~#V^r@CrP@y*qN0I@pUPgNKPG*2>K872y}>Y73el9Q=7E3 zeS_J87%Jd5i!k;bFq7|MyU8crKh)ms;uj(~J?~)SgI4`MFolMYxjdTx6#DGN7V;f{fABxr;3!aCpg!fENKT0$-E}+|5cy zl_P`7K&X6|zqC5c)TWA3W7x0s`S!dw#rG;m73HZ)pMUG_;zuY1v{!L?Db0i=>YtZj$&Qgm3^G&~aAvICb^uvE;0Gph3Mux)Z+-Va_5ccE@ybdbFM$7)EGi-YCmgQbJM-D*|H6b-)Mj|E@Yt(Lz>#(FouJ2Jx9 z9xXH!oV^?Q4@1E_eM7+&dxnCQ(Zl8ChgD@7Szx8A=L~%&VJH}?>JjySrn^@5_ncIB zum)yU?+@1Ov6WT&xqkB3cTkP_PTk`#J3TfCqQ~6AWPJV`e10=n)2Aw?x-mXaIdz}U zaQbWzoIbFt@Lj*4Vm#8ZHRY)!_=Wkm@@SWrp#uJHHFCi52j4L zFr`KZN0_o{a9Z%e?VpZ}sLBuFG7gaXa6nZaGjWAL_p8cIgN_3>F_VttCj>qVgO6kI z`N6l(ub&#UBh}WCee5c|?`D#GfQ~;5N5WM-qH#LSm8!zpG%{xTd*3TsA1U&O=`LS; z2v}8gMQ~PEg0qC(08_^vo9||mF$OS}^G5Z}8&7Qn_l zvz?1a5A8evK_UUwGWm}gMSJ#dlTHl~(~WlpH{TaH@6L)`S}qj#>Y*`+pQ*K)78*hlAE z?uud-MobUeEG{kj&6rxQd8b`rY18k~ba%gtTJ2_RggUoiFC#5( zZ2c?pqt8;aD}dE)Pc8VvMR*Z80|gZO~qUAoo?9- z-^&cpfWd8g9iU)oa7TX7m~OLUOOsmqpfM0dh*y4qG@8`e8$!YYO^JLTTT6ISt@ zt>RR@=(JT#EEZxsjSin}tC*MKMch{5h=q03xs2R7wv=sn z^cZxJ`WGHz-CKMjTQA#Eg|5)OfaB+I4}O)Je}=@8cn!N5i%? zxx?wH$*K^WYz*YMn~Ib6@K;86z(en+N11X)!w ze$aK|UqDoqyj9-rC_hX>&tmf;1evn8YL)3^*l<$W6kx^E_C*8mfo#F!Kp7t6%41HG zz#9_QYM}<$hvPt_R}b2UXH##^Zo>6tb~GSfxK{30l}9ikVm!j)GB!h1ek_(l$AguP zbYJ+4O+_0IK_i(X6FY&mA7G>G4O?FG(1PdN^i;Ce;P>ICOWSPV7}4v1Rb>a>HRz9t zuw!9d204bkN}caMlI~<{<9(RWKAmqdj;!VY!0hrCF-RDgV_bd$eIEE_=4OiGAn4JZz#jsJ8*H0?A zgqU{>jErda1KFNz9@s*?PeWjp=`)QG*h+wrPn#9mQCG1ROlq`;hCQDmYtdN-@hG^q zc(JNH3pdo|J*7P_5-W|eQVSlO17YnfFdjR{7H2uAL=dYd*GLVQ9q07yNSn4wS~@XZC=i;&lvAdQ<-?H zl!)WyPA*=+OQ)Jk@|Rs#o$tux3e`C|-ilY*Wa|d9`{ISuZh}t2*F)`6o>@n^VVF`-RlQ)82j)B#^%gtOve6}g^WD{ z*+Y0yxZ~@LeFFY>t`+nqY z;uXCJcoFa-;6=cTfENKT0$v2X2zU|jBH%^9i@+B(0&DRMUybMCZh9sLo`F0Md7^3f z3z8<n!W>20o{aafX^n3O#eGR4|^Z;`N7Jn1$?Q2vBm$K1$>Qwmk78; zz>v#gU|Qk>f3U?O4gvo{z`qyp0RbNt@Vf#Y6!514u0T9YTQdxdEn~9< ze7%4h1l%m(4gq%y_+bG*Dd1lVc&~x=_`fFLzYF+10Ur}ET z>sV^eEXYUFPqUxQ3bVy;6*ieRx1Y||g+rF@~dPFj~tx8my) zQiq(%$~k;8K(A^mrV~;slewpuHF%}Yc%lPL@m6B0&&4+^ZEQ}+X_-k4>11JPBa?1j zu_)J`;QRGVS|&Fq@0Be!eBdCLX;0&y@-WibA;}x%M6n=SI$NO=g^lrSrjg8;qL$4$9f;NIWZ3%*?7m|7X ziwvMg(>6jY+fz5=n-8>aL^B&&<#Zug=%R8{93KVQ*pz97H|?odCTkG%LNVWAmzHG; z@q(-yZSFwtkVuNyT5|DpK4sS7GX(hFiQ(MsNqIvSYr=@D7{m7ovJ|TIx}{>F4lBh9 z@*Nl!nGHHep+1?@bBcwA)|4!i(EkP_jRekYdJbj~4Q;VZK1p3-GaGaoCI+nu zUE7$+WR21?Io}b_3YMBT#IuWwZEf_C45?G@Ok@$O48FJ!UVdxCiuv>AVH98x=cseC P_@+t$VWD+zH1Pim#Ye)` literal 0 HcmV?d00001 diff --git a/legacy/linux/iktest/main.c b/legacy/linux/iktest/main.c new file mode 100755 index 0000000..bf9e23b --- /dev/null +++ b/legacy/linux/iktest/main.c @@ -0,0 +1,65 @@ +#include +#include +#include +#include +#include + +#include + +#include "ik.h" +#include "servo.h" +#include "spi.h" + +int get_time(); + +static bot idle_position = { + {0,0,-130}, // body position + {0,0,0}, // body rotation + + { // leg positions + {{ 166, 110, 0},}, // leg 0 + {{ 0, 160, 0},}, // leg 1 + {{-166, 110, 0},}, // ... + {{-166,-110, 0},}, + {{ 0,-160, 0},}, + {{ 166,-110, 0},} + } +}; + +int +main(int argc, char **argv) { + int i,j; + int start_time; + int end_time; + spi_open(0,0); + ik(&idle_position); + for(i=0;i<24;i++) servo_pwm[i]=0; + for(i=0;i +#include +#include +#include +#include + +#include + +#include "licks_message.h" + +int joystick_fd = -1; +struct js_event event; + +char *jsdev="/dev/input/js0"; + +int udp_socket_fd; + +struct sockaddr_in localaddr; +struct sockaddr_in remoteaddr; + +licks_net_message m; + + +#define MODE_IDLE 0 +#define MODE_TRANSLATE 1 +#define MODE_ROTATE 2 +#define MODE_WALK 3 + +int +main(int argc, char **argv) { + int quit=0; + int power=0; + int joy_x,joy_y,joy_z,joy_rz; + + int mode; + + if((joystick_fd=open(jsdev,O_RDONLY|O_NONBLOCK))<0) { + perror("open"); + exit(0); + } + + udp_socket_fd=socket(PF_INET,SOCK_DGRAM,0); + if(udp_socket_fd==-1) { + perror("socket"); + exit(0); + } + + localaddr.sin_family=AF_INET; + localaddr.sin_port=htons(1337); + inet_aton("0.0.0.0",&localaddr.sin_addr); + + remoteaddr.sin_family=AF_INET; + remoteaddr.sin_port=htons(1337); + inet_aton("192.168.1.43",&remoteaddr.sin_addr); + + + if(bind(udp_socket_fd,(struct sockaddr *)&localaddr,sizeof(struct sockaddr_in))) { + perror("bind"); + exit(0); + } + + + + joy_x=0; joy_y=0; joy_z=0; joy_rz=0; + + mode=MODE_IDLE; + + while(!quit) { + while(read(joystick_fd,&event,sizeof(struct js_event))==sizeof(struct js_event)) { + switch(event.type) { + case JS_EVENT_AXIS: + switch(event.number) { + case 0: + joy_x=event.value; + break; + case 1: + joy_y=event.value; + break; + case 2: + joy_z=event.value; + break; + case 3: + joy_rz=event.value; + break; + } + break; + case JS_EVENT_BUTTON: + printf("button %d value %d\n",event.number,event.value); + if(event.value) { + switch(event.number) { + case 6: + mode=MODE_ROTATE; + break; + case 7: + mode=MODE_TRANSLATE; + break; + case 9: + power=1-power; + m.type=MSG_POWER; + m.i=power; + sendto(udp_socket_fd,&m,sizeof(licks_net_message),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_in)); + break; + default: + mode=MODE_WALK; + } + } else { + mode=MODE_WALK; + } + break; + } + } + switch(mode) { + case MODE_TRANSLATE: + m.type=MSG_MOVE_BODY; + if(abs(joy_y)>5000) { + m.move_parameters.v.x=-joy_y/100; + } else { + m.move_parameters.v.x=0; + } + if(abs(joy_x)>5000) { + m.move_parameters.v.y=-joy_x/100; + } else { + m.move_parameters.v.y=0; + } + if(abs(joy_z)>5000) { + m.move_parameters.v.z=joy_z/100; + } else { + m.move_parameters.v.z=0; + } + m.move_parameters.duration=1; + sendto(udp_socket_fd,&m,sizeof(licks_net_message),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_in)); + break; + + case MODE_ROTATE: + m.type=MSG_ROTATE_BODY; + if(abs(joy_x)>5000) { + m.move_parameters.v.x=-joy_x/100; + } else { + m.move_parameters.v.x=0; + } + if(abs(joy_y)>5000) { + m.move_parameters.v.y=joy_y/100; + } else { + m.move_parameters.v.y=0; + } + if(abs(joy_rz)>5000) { + m.move_parameters.v.z=-joy_rz/100; + } else { + m.move_parameters.v.z=0; + } + m.move_parameters.duration=1; + sendto(udp_socket_fd,&m,sizeof(licks_net_message),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_in)); + break; + case MODE_WALK: + m.type=MSG_WALK; + if(abs(joy_y)>5000) { + m.move_parameters.v.x=-joy_y/2; + } else { + m.move_parameters.v.x=0; + } + if(abs(joy_x)>5000) { + m.move_parameters.v.y=-joy_x/2; + } else { + m.move_parameters.v.y=0; + } + if(abs(joy_rz)>5000) { + m.move_parameters.v.z=joy_rz/327.6; + } else { + m.move_parameters.v.z=0; + } + sendto(udp_socket_fd,&m,sizeof(licks_net_message),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_in)); + break; + + + } + usleep(10000); + } + + + close(udp_socket_fd); + close(joystick_fd); +} \ No newline at end of file diff --git a/legacy/linux/liblicks/Makefile b/legacy/linux/liblicks/Makefile new file mode 100755 index 0000000..6bbc196 --- /dev/null +++ b/legacy/linux/liblicks/Makefile @@ -0,0 +1,26 @@ +#CC=arm-linux-uclibc-gcc +#AR=arm-linux-uclibc-ar +#LD=arm-linux-uclibc-ld + +#CFLAGS=-Iinclude -Wall -Os -pipe -mtune=arm9tdmi -march=armv5te -mabi=apcs-gnu -msoft-float +CFLAGS=-Iinclude -Wall -pipe + +#OBJS=spi/spi.o servo/servo.o ik/ik.o linalg/linalg.o dynamic_sequencer/dynamic_sequencer.o licks_message/licks_message.o +OBJS=fakespi/spi.o servo/servo.o ik/ik.o linalg/linalg.o dynamic_sequencer/dynamic_sequencer.o licks_message/licks_message.o + +#FAKEOBJS=servo/servo.o fakespi/spi.o + +all: liblicks.a + +liblicks.a: $(OBJS) + rm -f liblicks.a + $(AR) q liblicks.a $(OBJS) + +#liblicks-fakespi.a: $(FAKEOBJS) +# rm -f liblicks-fakespi.a +# $(AR) q liblicks-fakespi.a $(FAKEOBJS) + +clean: + rm -f $(OBJS) $(FAKEOBJS) + rm -f *~ + rm -f liblicks.a liblicks-fakespi.a \ No newline at end of file diff --git a/legacy/linux/liblicks/dynamic_sequencer/dynamic_sequencer.c b/legacy/linux/liblicks/dynamic_sequencer/dynamic_sequencer.c new file mode 100755 index 0000000..7d0197d --- /dev/null +++ b/legacy/linux/liblicks/dynamic_sequencer/dynamic_sequencer.c @@ -0,0 +1,302 @@ +#include +#include + +#include "ik.h" +#include "dynamic_sequencer.h" + +#define MAX_ENTRIES 100 + +void sequencer_walk_callback(sequencer_entry *, bot *); + +static sequencer_entry sequencer_list[MAX_ENTRIES]; + +void +sequencer_init() { + int i; + for(i=0;isequencer_list[i].frame_duration) sequencer_list[i].command=seq_nop; + + switch(sequencer_list[i].command) { + case seq_walk: + sequencer_walk_callback(&sequencer_list[i], b); + break; + case seq_move_body: + add_vector3d(&b->body_position,&sequencer_list[i].arg_vector3d,&b->body_position); + break; + case seq_rotate_body: + add_vector3d(&b->body_orientation,&sequencer_list[i].arg_vector3d,&b->body_orientation); + break; + case seq_move_leg: + add_vector3d(&b->leg[sequencer_list[i].arg_int].position,&sequencer_list[i].arg_vector3d,&b->leg[sequencer_list[i].arg_int].position); + break; + case seq_move_leg_parabolic: + t = (2.0*sequencer_list[i].frame_t/sequencer_list[i].frame_duration)- 1.0; + t = 1.0 - (t*t); + scalar_mult_vector3d(&sequencer_list[i].arg_vector3d,t-sequencer_list[i].arg_double,&temp); + sequencer_list[i].arg_double=t; + + add_vector3d(&b->leg[sequencer_list[i].arg_int].position,&temp,&b->leg[sequencer_list[i].arg_int].position); + break; + case seq_rotate_leg: + if(sequencer_list[i].frame_t==0) { + rot_x_vector3d(&b->leg[sequencer_list[i].arg_int].position,sequencer_list[i].arg_vector3d.x,&temp); + rot_y_vector3d(&temp,sequencer_list[i].arg_vector3d.y,&temp); + rot_z_vector3d(&temp,-sequencer_list[i].arg_vector3d.z,&temp); + sub_vector3d(&temp,&b->leg[sequencer_list[i].arg_int].position,&temp); + scalar_mult_vector3d(&temp,1.0/sequencer_list[i].frame_duration,&sequencer_list[i].arg_vector3d); + printf("x: %f y: %f z: %f\n",temp.x, temp.y, temp.z); + } + add_vector3d(&b->leg[sequencer_list[i].arg_int].position,&sequencer_list[i].arg_vector3d,&b->leg[sequencer_list[i].arg_int].position); + break; + + } + sequencer_list[i].frame_t++; + } + } + } +} + + +sequencer_entry * +sequencer_new_entry() { + int i=0; + for(i=0;icommand=seq_move_body; + e->frame_delay=frame_delay; + e->frame_duration=frame_duration; + + scalar_mult_vector3d(d,1.0/frame_duration,&e->arg_vector3d); + } +} + +void +sequencer_rotate_body(int frame_delay, int frame_duration, vector3d *d) { + sequencer_entry *e; + e=sequencer_new_entry(); + if(e) { + e->command=seq_rotate_body; + e->frame_delay=frame_delay; + e->frame_duration=frame_duration; + + scalar_mult_vector3d(d,1.0/frame_duration,&e->arg_vector3d); + } +} + +void +sequencer_rotate_deg_body(int frame_delay, int frame_duration, vector3d *d) { + sequencer_entry *e; + e=sequencer_new_entry(); + if(e) { + e->command=seq_rotate_body; + e->frame_delay=frame_delay; + e->frame_duration=frame_duration; + + scalar_mult_vector3d(d,(M_PI/180.0)/frame_duration,&e->arg_vector3d); + } +} + + +void +sequencer_move_leg(int frame_delay, int frame_duration, int i, vector3d *d) { + sequencer_entry *e; + e=sequencer_new_entry(); + if(e) { + e->command=seq_move_leg; + e->frame_delay=frame_delay; + e->frame_duration=frame_duration; + + e->arg_int=i; + scalar_mult_vector3d(d,1.0/frame_duration,&e->arg_vector3d); + } +} + +void +sequencer_rotate_leg(int frame_delay, int frame_duration, int i, vector3d *d) { + sequencer_entry *e; + e=sequencer_new_entry(); + if(e) { + e->command=seq_rotate_leg; + e->frame_delay=frame_delay; + e->frame_duration=frame_duration; + + e->arg_int=i; + e->arg_double=0; + e->arg_vector3d.x=d->x; + e->arg_vector3d.y=d->y; + e->arg_vector3d.z=d->z; + } +} + +void +sequencer_move_leg_parabolic(int frame_delay, int frame_duration, int i, vector3d *d) { + sequencer_entry *e; + e=sequencer_new_entry(); + if(e) { + e->command=seq_move_leg_parabolic; + e->frame_delay=frame_delay; + e->frame_duration=frame_duration; + + e->arg_int=i; + e->arg_double=0; + e->arg_vector3d.x=d->x; + e->arg_vector3d.y=d->y; + e->arg_vector3d.z=d->z; + } +} + +void +sequencer_walk(sequencer_walk_parameters *p) { + sequencer_entry *e; + if(e=sequencer_new_entry()) { + e->command=seq_walk; + e->arg_pointer=p; + e->arg_int=0; + e->frame_delay=0; + e->frame_t=0; + e->frame_duration=1; + } +} + +void +sequencer_walk_callback(sequencer_entry *e, bot *b) { + sequencer_walk_parameters *p; + + p=e->arg_pointer; + + vector3d v; + + int l; + + e->frame_t=-1; + e->frame_duration=1; + e->frame_delay=p->step_duration; + + switch(e->arg_int) { + case 0: + if(((p->step_direction.x*p->step_direction.x)+(p->step_direction.y*p->step_direction.y))>=1.0||(abs(p->step_rotation)>0.01)) { + e->arg_int=1; + + for(l=0;lleg[l].position,p->step_rotation,&v); + add_vector3d(&v,&p->step_direction,&v); + } else { + rot_z_vector3d(&b->leg[l].position,-p->step_rotation,&v); + sub_vector3d(&v,&p->step_direction,&v); + } + sub_vector3d(&v,&b->leg[l].position,&v); + sequencer_move_leg(0,p->step_duration,l,&v); + + if(l&1) { + v.x=0; + v.y=0; + v.z=-p->step_height; + sequencer_move_leg_parabolic(0,p->step_duration,l,&v); + } + } + } + break; + case 1: + for(l=0;lleg[l].position,&p->last_step_direction,&v); + rot_z_vector3d(&v,-(p->last_step_rotation+p->step_rotation),&v); + sub_vector3d(&v,&p->step_direction,&v); + } else { + add_vector3d(&b->leg[l].position,&p->last_step_direction,&v); + rot_z_vector3d(&v,(p->last_step_rotation+p->step_rotation),&v); + add_vector3d(&v,&p->step_direction,&v); + } + sub_vector3d(&v,&b->leg[l].position,&v); + sequencer_move_leg(0,p->step_duration,l,&v); + + if(!(l&1)) { + v.x=0; + v.y=0; + v.z=-p->step_height; + sequencer_move_leg_parabolic(0,p->step_duration,l,&v); + } + } + + if(((p->step_direction.x*p->step_direction.x)+(p->step_direction.y*p->step_direction.y))>=1.0||(abs(p->step_rotation)>0.01)) { + e->arg_int=2; + } else { + e->arg_int=0; + } + break; + case 2: + for(l=0;lleg[l].position,&p->last_step_direction,&v); + rot_z_vector3d(&v,(p->last_step_rotation+p->step_rotation),&v); + add_vector3d(&v,&p->step_direction,&v); + } else { + sub_vector3d(&b->leg[l].position,&p->last_step_direction,&v); + rot_z_vector3d(&v,-(p->step_rotation+p->last_step_rotation),&v); + sub_vector3d(&v,&p->step_direction,&v); + } + sub_vector3d(&v,&b->leg[l].position,&v); + sequencer_move_leg(0,p->step_duration,l,&v); + + if(l&1) { + v.x=0; + v.y=0; + v.z=-p->step_height; + sequencer_move_leg_parabolic(0,p->step_duration,l,&v); + } + } + + + if((((p->step_direction.x*p->step_direction.x)+(p->step_direction.y*p->step_direction.y))>=1.0)||(abs(p->step_rotation)>0.01)) { + e->arg_int=1; + } else { + e->arg_int=0; + } + break; + } + copy_vector3d(&p->step_direction,&p->last_step_direction); + p->last_step_rotation=p->step_rotation; +} diff --git a/legacy/linux/liblicks/dynamic_sequencer/dynamic_sequencer.o b/legacy/linux/liblicks/dynamic_sequencer/dynamic_sequencer.o new file mode 100755 index 0000000000000000000000000000000000000000..b708c8ff51114e601fb4016e8512e96cb7c22246 GIT binary patch literal 6131 zcmcgwacmn`8NaKY;B^`Hs09T!mJSchKv7_Wr5U1Dv>P5fF0!glgf1)-rUtbAngx22~|RaO>(ksZYlwlkWj7l5?2euR>CwyrL7QZe&2g{ z@9vt!5nbVwd;WdD_r33Z@B6-c?{ZJbZi%j|J0$5|hTL+8nmnX3%$p;hl%%tO7rk7L zagQpdpPs6*ki4v7hqQmE9Ca~eE_o=(hp2ENht3EUwm6zbFd zQdsqsl{F$){6%GLuG(6jB#EwqvL+WM*5XIsTX>VHb{VSmzoD0F7oYc7c(%>LlN1i^ ziGWZ0L7+{0B;bKQe%xhu03A||8pFgSVNF>rOsvZ4J=ErIN9$5|)u{_3y79wCtmGhl zM@R`+kV}P`7s}#z)FpMLQOeWm^jz!eeO6J={r|+zeq*bsB)#5SqSiutxNLMoQHXmhS!R0bAndT^Y3+hmLjOCjI(+k88@O~h}Kitx8&<>|=M*8($%fTvPgoa4dZ z*>?6WUaQm=>w14pS8A7gYY?tL;QIPxCk&xu@ruJs7SkE!JZhy4HRGnZ(v-$1rKTUJ zfe^P|dlQX$S07s|pGnM8KO>t{71?r8bJO24IY+HG_kiqgwhCc}a%NK^Xq1G_IDh&o z)h!h_r~%6hR&dSx^huh&Q~rVQGVP^1KY@tV9!ii zlN{Wd3bmeoD$kjSY&`uW6;LIGiRHNW*x7$I8ijL-ANKS8)^F^$<_`U!eZ!nC<=eSj zW;MX0Lpyj2LF}h1cbZ$*(YMRWDpy!F_VQru1a>n`S;G!5sSm?aSwaP217SOL#9i!b z1F1zwER=ljC~YjlM!^>qpIHUMV0fKDJe42E;D^^O5BD$+w=k%;(1VFOXyWB*o6$#@ zQEXBhdD*u{i|h$*vVQ*!>-W{dHp@>k%e1#|5Kx=t%KQ30Y{7mVd&Qc*4;#H-4^hbV zeLEQj1Ke%|*;jk_-&RccB$V!3JjqepB;9N!I5RK_BI40{x`+t{!I0DC5Ur9Us%DNn zR7-}_(DxKWU7GR{KsnTgGHEcxl!~B39&MgXqv}XCs415V6PIQ0)Eh7Zw9Py9DzXG5 zd8bcc!5E~65E^P17uYYt1!?Ev!s1+|R#{l8>z786J8(h$F1|ZQ-f`1;;MQB{l;Jww zpFYOy!LcCuY`)?=2mz}rROP9X`Z%|P05}dWY+P+KO4Y13>k#;8CgS5A#*S7si)Fm>W7RcEiLu%)6lH&vni{QJs#Nv<54N(_$4#;+4Vv-vh5EYWIZ~-khDCO?9{5muMf%#fFp7L^2&4x5=svC-S+Nn#yJv z6(3G?OPTD5G@Knvwhv?z;~b@vLuBo~LH@U0It2B>cCtCxewfC=xc{cO)q{Aa#<?=UL_YBZZ#{WieuM6&vtcR>z5$GQRwZcDc?`|M&?_MB2+f$-9DbPWIrUm+i zK&J)zwLtR%Re<;$e-+#gM2UOw6`;GBXO9YQzu<__#cg|s}uS2Pav+h_cn9ASs?DqDZ#xC#8>{FK&SCUaqc`2kJYl^ zcHC}Sc?gL2?iJhw5Fh(xyfJcLz6r#Ac^Qa%Fb~AXE(-3BJIt{U0P)@@1vf6x7xAr) zTmCu_w>$*2i>+Km>%-kD9TMn>K$8MZ2}I8{AMt`f9fPTK5)xh48NG!k`S>AVc) zgoAkv%=-@J_cRJMV~u(j%b3|~Hl8nSfm1T!EQ`}a>;wo4vI2I4^0W&iextpGY! zpNZ_>Jz0EY_7I!h?AQLylQQ6vPoagowktZ4SFI1I$OY@WU(9GS-3}%?l1pXOLBo!z z^F4qam$IHT>R z4J$XWre<^`mWvH!(<#S75605Z*lmy;jmOgIfmj?JFd01*9ZSa5Z0>;sm&a}LC{$y) d=x{!*+SphkVUx(lVVgXfAFxUB?1;_ae*lAqJQe@| literal 0 HcmV?d00001 diff --git a/legacy/linux/liblicks/fakespi/spi.c b/legacy/linux/liblicks/fakespi/spi.c new file mode 100755 index 0000000..5868a08 --- /dev/null +++ b/legacy/linux/liblicks/fakespi/spi.c @@ -0,0 +1,36 @@ +#include +#include +#include +#include +#include +#include + +#include "spi.h" +#include "servo.h" + +void +spi_open(uint8_t mode, uint32_t speed) { +} + +void +spi_close() { +} + +void +spi_update_servos() { + int fd; + fd=open("/tmp/servodata",O_WRONLY|O_CREAT,0700); + if(fd!=-1) { + write(fd,servo_pwm,sizeof(servo_pwm)); + close(fd); + } +} + +void +spi_set_led(uint8_t led) { +} + +void +spi_set_laser(uint8_t led) { +} + diff --git a/legacy/linux/liblicks/fakespi/spi.o b/legacy/linux/liblicks/fakespi/spi.o new file mode 100755 index 0000000000000000000000000000000000000000..6d3b0cd845e53c8154232610a77f6e7b9a885627 GIT binary patch literal 821 zcmZ`$Jxc>Y5S>lVkjl?Ab{0V_MuR7ZkITo?!O$SWF-%R=PL2}S<>tu<%ACk>ZPo*+v=#W^g?KYm}822WEexWo +#include "ik.h" + +bot_configuration conf = { + { + { 80, 40, 0}, + { 0, 60, 0}, + {-80, 40, 0}, + {-80,-40, 0}, + { 0,-60, 0}, + { 80,-40, 0} + } +}; + +void +ik(bot *b) { + int i; + + vector3d v; + + double r; + double r2z2,sr2z2; + double acos_1,acos_2; + + const double c=20; + const double f=80; + const double t=130; + + const double f2=f*f; + const double t2=t*t; + + for(i=0;ileg[i].position,&b->body_position,&v); + + rot_x_vector3d(&v,b->body_orientation.x,&v); + rot_y_vector3d(&v,b->body_orientation.y,&v); + rot_z_vector3d(&v,b->body_orientation.z,&v); + + sub_vector3d(&v,&conf.leg_offset[i],&v); + + if(ileg[i].ik_angle[0]=-atan2(v.x,v.y); + } else { + b->leg[i].ik_angle[0]=atan2(v.x,-v.y); + } + + r=sqrt(v.x*v.x+v.y*v.y)-c; + + r2z2=r*r+v.z*v.z; + sr2z2=sqrt(r2z2); + + acos_1=(r2z2+f2-t2)/(2*f*sr2z2); + acos_2=(r2z2-f2-t2)/(2*f*t); + if(ileg[i].ik_angle[1]=M_PI/2 - (acos(acos_1) + atan2(r,v.z)); + b->leg[i].ik_angle[2]=-acos(acos_2)+M_PI/2; + } else { + b->leg[i].ik_angle[1]=-M_PI/2 + (acos(acos_1) + atan2(r,v.z)); + b->leg[i].ik_angle[2]=acos(acos_2)-M_PI/2; + } + } +} diff --git a/legacy/linux/liblicks/ik/ik.c~ b/legacy/linux/liblicks/ik/ik.c~ new file mode 100755 index 0000000..547de69 --- /dev/null +++ b/legacy/linux/liblicks/ik/ik.c~ @@ -0,0 +1,62 @@ +#include +#include "ik.h" + +bot_configuration conf = { + { + { 80, 40, 0}, + { 0, 60, 0}, + {-80, 40, 0}, + {-80,-40, 0}, + { 0,-60, 0}, + { 80,-40, 0} + } +}; + +void +ik(bot *b) { + int i; + + vector3d v; + + double r; + double r2z2,sr2z2; + double acos_1,acos_2; + + const double c=20; + const double f=80; + const double t=130; + + const double f2=f*f; + const double t2=t*t; + + for(i=0;ileg[i].position,&b->body_position,&v); + + rot_x_vector3d(&v,b->body_orientation.x,&v); + rot_y_vector3d(&v,b->body_orientation.y,&v); + rot_z_vector3d(&v,b->body_orientation.z,&v); + + sub_vector3d(&v,&conf.leg_offset[i],&v); + + if(ileg[i].ik_angle[0]=-atan2(v.x,v.y); + } else { + b->leg[i].ik_angle[0]=atan2(v.x,-v.y); + } + + r=sqrt(v.x*v.x+v.y*v.y)-c; + + r2z2=r*r+v.z*v.z; + sr2z2=sqrt(r2z2); + + acos_1=(r2z2+f2-t2)/(2*f*sr2z2); + acos_2=(r2z2-f2-t2)/(2*f*t); + if(ileg[i].ik_angle[1]=M_PI/2 - (acos(acos_1) + atan2(r,v.z)); + b->leg[i].ik_angle[2]=-acos(acos_2)+M_PI/2; + } else { + b->leg[i].ik_angle[1]=-M_PI/2 + (acos(acos_1) + atan2(r,v.z)); + b->leg[i].ik_angle[2]=acos(acos_2)-M_PI/2; + } + } +} diff --git a/legacy/linux/liblicks/ik/ik.o b/legacy/linux/liblicks/ik/ik.o new file mode 100755 index 0000000000000000000000000000000000000000..fb8cb683b0fc2ae5bf415e038b625a4cd1497c08 GIT binary patch literal 1784 zcma)7O=uHA6rN3XOOR^)NiheJh`BZvEnZ!ui#;?&Fac4Qq)k&>TAG@q5({EVD0CYl zxdO5F(5 zvAX|JAt`A01+T=|i~T&Sp(1zjj_)y1qH(cU>juDr6U4f|Z$s87_~z6QwvF_uSI zpcmisi3Ez4*v)^$ZvQ1_mUiX~qzcoyv|z{l*~dl4lS?_Zh?N3XR;xQu--XYy;?;UC zJj_7 zvE)d(S){!PYut#Hv0B1P$q0ab4i}wI-N4EQ*Q=Z08A`*5?Zcl`(T%`n?EtGfVPh7y zPS|a_0cqNi&Zk&UlO;g`vKdN=^DBgh1|$z`Xzh!=-9`p`=uF!@qq|aCg?!k_1zTM; z)1|1A;T3oPyP{p$s+d)Z0(30(J-u_-Y%YHwPwZXweStn92nA*EVGPUk=ZG1z@j;zQ z?0U|(;!I`QF&oe8+ITaAp&^<5w)ApD_6!UMe_c4Z)(e4SaQC2oj?Qy52Z+44ZbUZz zI7OuO6BOxS`zZPZwuj#2#bg#`5)2~5IH z;XBkI=dL-dr!NFS(|Slu39_ENyW?(QJjn7ZDSW=7J2oW_K&;6gt-pka3Y=xr^63p(NsKfWs>=i NZ2pqPPcBZF-XC0lw#Wbg literal 0 HcmV?d00001 diff --git a/legacy/linux/liblicks/include/dynamic_sequencer.h b/legacy/linux/liblicks/include/dynamic_sequencer.h new file mode 100755 index 0000000..a31afef --- /dev/null +++ b/legacy/linux/liblicks/include/dynamic_sequencer.h @@ -0,0 +1,51 @@ +#ifndef LICKS_DYNAMIC_SEQUENCER_H +#define LICKS_DYNAMIC_SEQUENCER_H + +#include +#include "linalg.h" +#include "ik.h" + +typedef enum { seq_nop=0, seq_move_body, seq_rotate_body, seq_move_leg, seq_rotate_leg, seq_move_leg_parabolic, seq_walk } sequencer_command; + +typedef struct { + sequencer_command command; + + uint32_t frame_delay; + uint32_t frame_duration; + uint32_t frame_t; + + vector3d arg_vector3d; + int arg_int; + double arg_double; + void *arg_pointer; + void *arg_pointer2; + +} sequencer_entry; + +typedef struct { + double step_height; + int step_duration; + + vector3d step_direction; + double step_rotation; + + vector3d last_step_direction; + double last_step_rotation; + +} sequencer_walk_parameters; + +extern void sequencer_init(); +extern void sequencer_dump(); +extern void sequencer_run_frame(bot *b); + +extern sequencer_entry *sequencer_new_entry(); +extern void sequencer_move_body(int,int,vector3d *); +extern void sequencer_rotate_body(int,int,vector3d *); +extern void sequencer_rotate_deg_body(int,int,vector3d *); +extern void sequencer_move_leg(int,int,int,vector3d *); +extern void sequencer_rotate_leg(int, int, int, vector3d *); +extern void sequencer_move_leg_parabolic(int,int,int,vector3d *); +extern void sequencer_tripod_walk(int,int,vector3d *, double,double, int); +extern void sequencer_walk(sequencer_walk_parameters *p); +#endif + \ No newline at end of file diff --git a/legacy/linux/liblicks/include/ik.h b/legacy/linux/liblicks/include/ik.h new file mode 100755 index 0000000..1143126 --- /dev/null +++ b/legacy/linux/liblicks/include/ik.h @@ -0,0 +1,30 @@ +#ifndef LICKS_IK_H +#define LICKS_IK_H + +#include "linalg.h" + +#define NUM_LEGS 6 + +typedef struct { + vector3d leg_offset[NUM_LEGS]; +} bot_configuration; + +typedef struct { + vector3d position; + double ik_angle[3]; +} leg; + +typedef struct { + vector3d world_position; + vector3d world_orientation; + vector3d body_position; + vector3d body_orientation; + + leg leg[NUM_LEGS]; +} bot; + +extern void ik(bot *); +extern bot_configuration conf; + +#endif + diff --git a/legacy/linux/liblicks/include/licks_message.h b/legacy/linux/liblicks/include/licks_message.h new file mode 100755 index 0000000..cc2d0dc --- /dev/null +++ b/legacy/linux/liblicks/include/licks_message.h @@ -0,0 +1,27 @@ +#ifndef LICK_MESSAGE_H +#define LICKS_MESSAGE_H + +#include "dynamic_sequencer.h" +#include + +#define MSG_QUIT 'X' +#define MSG_HELO 'H' +#define MSG_REPLY 'A' +#define MSG_POWER 'P' +#define MSG_GAIT 'G' +#define MSG_BODY 'B' +#define MSG_LEG 'L' +#define MSG_QUERY 'Q' + +typedef struct { + char type; + char parameters[255]; +} licks_message; + +extern void licks_socket_open(); +extern void licks_socket_close(); +extern int licks_socket_poll(); +//extern void send_message(licks_message *, char *); +extern void send_reply(licks_message *); +extern char *receive_message(licks_message *); +#endif \ No newline at end of file diff --git a/legacy/linux/liblicks/include/licks_message.h~ b/legacy/linux/liblicks/include/licks_message.h~ new file mode 100755 index 0000000..e5492e7 --- /dev/null +++ b/legacy/linux/liblicks/include/licks_message.h~ @@ -0,0 +1,25 @@ +#ifndef LICK_MESSAGE_H +#define LICKS_MESSAGE_H + +#include "dynamic_sequencer.h" +#include + +#define MSG_QUIT 'X' +#define MSG_POWER 'P' +#define MSG_GAIT 'G' +#define MSG_BODY 'B' +#define MSG_LEG 'L' +#define MSG_QUERY 'Q' + +typedef struct { + char type; + char parameters[255]; +} licks_message; + +extern void licks_socket_open(); +extern void licks_socket_close(); +extern int licks_socket_poll(); +//extern void send_message(licks_message *, char *); +extern void send_reply(licks_message *); +extern char *receive_message(licks_message *); +#endif \ No newline at end of file diff --git a/legacy/linux/liblicks/include/linalg.h b/legacy/linux/liblicks/include/linalg.h new file mode 100755 index 0000000..17d666a --- /dev/null +++ b/legacy/linux/liblicks/include/linalg.h @@ -0,0 +1,22 @@ +#ifndef LICKS_LINALG_H +#define LICKS_LINALG_H + +typedef struct { + double x,y,z; +} vector3d; + +typedef struct { + double x[3],y[3],z[3]; +} matrix3x3d; + +extern void copy_vector3d(vector3d *v1, vector3d *v2); +extern void add_vector3d(vector3d *v1, vector3d *v2, vector3d *result); +extern void sub_vector3d(vector3d *v1, vector3d *v2, vector3d *result); +extern void scalar_mult_vector3d(vector3d *v1, double s, vector3d *result); +extern void cross_mult_vector3d(vector3d *v1, vector3d *v2, vector3d *result); +extern void matrix_mult_vector3d(vector3d *v1, matrix3x3d *M, vector3d *result); +extern void rot_x_vector3d(vector3d *v, double a, vector3d *result); +extern void rot_y_vector3d(vector3d *v, double a, vector3d *result); +extern void rot_z_vector3d(vector3d *v, double a, vector3d *result); + +#endif diff --git a/legacy/linux/liblicks/include/servo.h b/legacy/linux/liblicks/include/servo.h new file mode 100755 index 0000000..a3fcb9e --- /dev/null +++ b/legacy/linux/liblicks/include/servo.h @@ -0,0 +1,13 @@ +#ifndef LICKS_SERVO_H +#define LICKS_SERVO_H + +#include + +#define NUM_SERVOS 24 + +extern uint32_t servo_pwm[NUM_SERVOS]; // low level PWM values. This block will be sent via SPI +extern uint32_t servo_offsets[NUM_SERVOS]; // low level calibration + +extern void load_calibration(char *); + +#endif diff --git a/legacy/linux/liblicks/include/spi.h b/legacy/linux/liblicks/include/spi.h new file mode 100755 index 0000000..bbd6cea --- /dev/null +++ b/legacy/linux/liblicks/include/spi.h @@ -0,0 +1,44 @@ +#ifndef LICKS_SPI_H +#define LICKS_SPI_H + +#include + +#define SPI_IDLE 0x00 +#define SPI_WAIT 0x01 +#define SPI_BUSY 0x02 +#define SPI_DONE 0x03 +#define SPI_ERR 0x04 + +#define CMD_NOP 0x00 +#define CMD_CONTINUE 0x01 +#define CMD_FINISH 0x02 +#define CMD_CLEAR 0x8A + +#define CMD_SET_SERVOS 0x10 +#define CMD_SET_LED 0x11 +#define CMD_SET_LASER 0x12 + +#define CMD_GET_SERVOS 0x20 + + +extern void spi_open(uint8_t, uint32_t); +extern void spi_update_servos(); +extern void set_led(uint8_t); +extern void set_laser(uint8_t); +extern void spi_close(); + +struct spi_message { + uint8_t cmd; + uint8_t length; + uint8_t checksum; + uint8_t data[255]; +}; + +struct spi_result { + uint8_t status; + uint8_t length; + uint8_t checksum; + uint8_t data[255]; +}; + +#endif diff --git a/legacy/linux/liblicks/liblicks.a b/legacy/linux/liblicks/liblicks.a new file mode 100755 index 0000000000000000000000000000000000000000..d83839c779136f2d45435a551ce196f60d6cb5aa GIT binary patch literal 15012 zcmd^G4RBmnb-ru;uu%+Bk_|N_DeFWIB0skLm&i^g*>ST$Bq#_R&v-(X)p~bjZ@qun zUD>i58>MT5HydSC3XEN1Fo`FanKlH*9TG^UYsVld1FcJEI?|xE6>woy3&w3TB@Ry1 z@7(k5eedmCNr`Qk&h$#}?RU>T_uO;O{W3dd8~D8s&VB$SH=v(e19RF+BEXf7C!Ml6vKl0`6{3Ppn9P&~FN6UxO> zB#Uwd)7ujYrh0pk8**Y>MKGL7_R>AQBe*RZ&ZROdAu|+-SYp|}P1YEMhcdxLUp!}_ zhBK*bwwjm-v>~O6syKt` zP$sk~6_16jdA5h*XbMwjic=%TH-*AT0Qc5xFq;Z*MWb^Ev60fLc)W^Bb)IN463j%? zaa1%D4M$_Bb|RY1hBm`S=~RZy$zpra?C}(}Z6tzjL?Y#l=$U9Dm5XwMiP8PgyK=Ea zG}V_2qCYLG9<27E>xivbp-4SVksZlUA{I7nur#%-sR;uDzlzK2)uVqEaC`f5uXpwG zl}HA@eHCaOD?pN=r|FZfdQ1R9>~sm?k*MCaG#Bm9NzAuB_&JKJ6Fd|eaCt?0&r%Gx zkfh9h^NIG-(oNay>>QjjKztdyz!oHR7-g#bkmuV_`1kyDebMjU=f5!eDq;b;<)_<* z?`?ILkO9B-t_Aq5Z>4+{x)uBv^1Cj~V}Vkxy%bAqXlPk3 zkzOX}4A%KpNcsS-MzI|Ct8jV5{kT&d8pQ_Oua>kP+^>;nX>TkZ1)}iIj1Ze$)Q({$ zSnk|DLbzb_1y$BiY*aE`l1CChggcdEQb@yI8)Xe*)XvOfZFMT9&h=NgQ(K$C+TyS; zc+50~tgT%)8;_->seW~?W|iIc+i8g{5Im?A3Dyw7?U`6k8IkORIa>MygNZ2XfiH{C zFg-+*gqATk--uhYs>92{9C6pdfU}LbFGFVjthh;(9<=qY_XCpXhOnxE>?T z`ZGX$mUf^t8ZJkw+SC|vk5BJIqB&^XYS5^G)uRU1pnrb>W6Ls_3jR0qyWZ^SyS|FW zIzG={g8@zXtVD~9vsY5OF;GWe9U8jj&oRzc3bF5I{@uPzh<|7kB5;EcuV4`V2CJI? zxeJS%6+%Su`@kw8zIvMw|FTqwr#~vhTi`!ULtvIry+mkRlKt3PZ*~jZfj5I`o)%7v zaM8H)h&yqoKI{<>Q;Ypxb=LA}oIA(?U<^<(Qsxs7K2NV8JjmiRsPK};ARz8@XnVBi?rTh3><%3&fjP9o^I~U|U zoT8MlrcXpqmIFu1{v#7zxbMfmloxoR?0-S?2VO*M!e5z)oi!9)~KXK9PB5RPyiXje?-&w5*-z2psDZF>($k*43rm1Nh3N(ZO#VrCa`3> zs@%XpjY9ncy2Pn>U1Bw-QDWYI*o7K5G(Yw5+cPtdpP(N5*#P_rei0t>$scjA@yjvu z{$LgTp%SN6^J}`1&RpjG!792gw~B7&V6@7|zXrdr$G7OVdmsA!;`8?`L<0MmYc={a zjp9HwZasnw|9*+6>g>HrKn8QT*_13uF(@f?8knf(c3`m$F9W$a5eIK z#En3#)yIK2wM*0bH0=o>&hZtU`jSrlM@@6CnlU$rQIsXnj$H+pfKPy4)xqf@{|jZ% zylV!hhcr5g$s(K{(voOE>nf`rv^tm9NHKr-gn{Ew#PE>B&4D}{1@<6>6s46SGAIfb zIz}K$F#9MruqsFjwr$B3c1jp0CMpomIz)nW#_*obAgo6q@mMkx-)#C#?K5#ERcluY zpJ^OQk7n za;eHh7h)czh7nUTRJ6s|>9(0wgJPp*<)HfLsVTU5lO#A@nuM4s`J610tU(#qIizIXzzn(gItV+lci zStpnMCCWOYvYxc0O>~`{@Q+ASdT6I)nDVo8YlvlnMf%)|C7_tJuMah1!(Fm^FJG9` zfU~Lx4QkmO9h9PVS;7<^Z*e1qQB7S3p#Z~qcb}73d}{E zV+jyT*{o4sr+!nTS9Ge=qZu=2Sj|HGt9-$19*wxDWNdAP+hX*J| zA@9F{b^3+y?q3D;^&6xzcX6NF#6#4z4Eg{K7HlwEu-98in@u`Ma-J=rz~TTn zaX37k-!<*ZeT43rD{-&OEuNwzoJOt14=skp*$iTL>sSOrU8Q`QKp}m%&WhXdgnaT{!PhEkHmo&xt{B&g4e};rjtwTkN z{xiBFdrQrGZnn_K4tq&987mA?Z0bD5r6I&HA`7GntU11|h11NY zu@+eHpUCez(b)XO{Ul_=iDLa%Xt6mn$xOWQhBKpj(QbbWHWyQIS)e>0Al(i7Xx@CO z9c?G~>FN+*YK_X$DFr*TbULy$$}DG86BUQ3KDN_{V|88V85RxpgM&;ld!9N}g>=Ii zh1TsLWf-xL{to!bF{(b>7nN6r}i4` zb{V6eVtMrT{H`hp4ZSax}?3C-a0 zyb$y0*{jslwfo1z{%K~IR!jcM0nBFnu1}6H!99lkO5qhr_ib<-L zmK(5zYbmU2o2CAqs`|H7IxUhvB_-3`Uc;ak$>YB*Z)~S6B6{|P@(UL-Ln zz+R)(S}MQ9Rzul@3!&z|%!TZ>6tY&F z*??R{Ux(ZoyN7)X(M@JR8um%1A~wuEci}jRMz15*wt{~mziYzPyyq2&0b0J}smIDLWoEIjpg@gV{)!R%b@aAB53nv&e+NA0%XAMzw zs(s1AW*1RiEc7pS!;MH?Q7tvsswaJu*nsKUcA!%RCh1n8ddr>Ox%ngn&}EG21_!&x zF%+iGp~;jvAG4bCQ3#VyCT6oh)sqRdVJB&V^MYgKVzk8xYF{*m(G!UM1J1p^>1xNb$^*~7qCn9S_GL;te zmYP?-|0WUMVIyfvdilRe^n<wxp$-RYxHT20vc@x`XH`G@fhwOmh^q{PPA_Waq9Cx z^uW#|zOQL7YuZ1{6w2|sM*po*BlKfkt_NayHvn;IpVp~SjkasFSEH|L^o&N|)9AQH z<3L=-uQlx&Scx_G7|``nv->pd5lzcz8a+ee9M5U=BhB}3nnusvnXeVC!RStn9t65h zmKM;oq((y;9R*^oexzxqH6lmEl08_f(4mP(+yun5yMX>w(z-M)3PextJYt)s9R&J_ zqp;4zsn}JySK26JO^pZxS8jWeR4l6S*_ir@% zS0L7)qG{`J=)-)|ZaQ@$ecl3MzV%nA`lf(bmqVKNG7#7NR~kKo5yi9-Ahy*RO}pkw zCFKqvPF=5QyMQ?FN3mjLT~-6JE?);?4UPkG-cy?Pempqkyeok?^;4R*L!%pU*2a>T z1F_`IK#OI~bGWzQY83q%J*LrsMtd}(G0i!i)W~^mj3j~PuL?BoDacb<7vt)Cn?XQV z6?EafOI)|$lDUW=I&sl9-=qXU`5O9yNy&inI~(PTloS2H4kW@>9x% zK4a$kB`AMyqqv~U)#yVe&sCso(iG?U^A5B?7;?;Tk>}4eh;707g!d5Ap{^wa(|vgR zR|WN~_GaB8in7*52|C_yA_@1~c!<*Dpd=lX-8M=vw8>OQsM4Rb@q7(2=R3!?m$Iwh zHjl@$|1aM@pYK_Dw&n)`_D={%hV7kx>+|%gXBSQUZoBcCIv%sn_q<@m^3{0qPJx~m zV2~oz$Y(DoBZ%khLDXpXp~=LNDH`;DHjTgMKiw&FSpzibUYy!E{_f>-l% z+oFp8G{BgXkDk}(rx($iro>4vtP&IUn)Fj4+Ou@~Cf)LH&h#xp63v=2No`3fQF36f zN^hjXXD~zWu(aF)PHp~vNv$z^pOwXcorEC^&H7U2ivXfXFXfOnZkoqQs@kyQc%D=s zXHr`QsV>STxh|mSTnm-6|{~XsUx09KwtPi zsjr(DEo$daw5pTBHns_6q6$JuX?DtJk#08wtw+cVx(LcSW8|$@#Fm8N!H{q4}Hk889~^9=&=%Ir%zbw3c7_IE(M8lrszm-{jh>o)?#`jNlp96tl1 zuHGodHTne*uYu@$0ZygUH!tWOaV-$%rTxWXNxKDzbI^)|?Bx-60Wn_~=mtsa1ERfB zqxdpVtE3$O;=JDg;vC=7e6Im5k$leelYK@FgNp(UI|?oVABo(x0_pG{xFnBcxEc2k zfZL?p4a$u+3bkrNQ=ID+nt|J-G=6Tv2u-X6JbV6uX?iwdFEKxFH3t1AQECgKx>D zl39aA5D$gt6hTsgJb4&2mQ(%4creN{!^uPFQC8GcU literal 0 HcmV?d00001 diff --git a/legacy/linux/liblicks/licks_message/licks_message.c b/legacy/linux/liblicks/licks_message/licks_message.c new file mode 100755 index 0000000..311a2b9 --- /dev/null +++ b/legacy/linux/liblicks/licks_message/licks_message.c @@ -0,0 +1,84 @@ +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include +#include +#include +#include + +#include +#include + +#include "licks_message.h" + +int socket_fd; +struct pollfd poll_pollfd; +struct timespec timeout_ts; + +struct sockaddr_in localaddr; +struct sockaddr_in remoteaddr; +socklen_t remoteaddr_len; + +const int port=1337; + +void +licks_socket_open() { + socket_fd=socket(PF_INET,SOCK_DGRAM,0); + if(socket_fd==-1) { + perror("socket"); + exit(0); + } + printf("socket %d\n",socket_fd); + localaddr.sin_family=AF_INET; + localaddr.sin_port=htons(port); + inet_aton("0.0.0.0",&localaddr.sin_addr); + + if(bind(socket_fd,(struct sockaddr *)&localaddr,sizeof(struct sockaddr_in))) { + perror("bind"); + exit(0); + } +// printf("bound to %s\n",localaddr.sun_path); + + poll_pollfd.fd=socket_fd; + poll_pollfd.events=POLLIN; + + remoteaddr.sin_family=AF_INET; +} + +int +licks_socket_poll() { + return poll(&poll_pollfd,1,0); +} + +void +licks_socket_close() { + close(socket_fd); +} + +//void +//send_message(licks_message *m, char *to) { +// strcpy(remoteaddr.sin_path,to); +// sendto(socket_fd,m,sizeof(licks_message),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_in)); +//} + +void +send_reply(licks_message *m) { + sendto(socket_fd,m,strlen(m),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_in)); +} + +char * +receive_message(licks_message *m) { + size_t real_len; + remoteaddr_len=sizeof(struct sockaddr_in); + memset(m,0,sizeof(licks_message)); + real_len=recvfrom(socket_fd,m,sizeof(licks_message)-1,0,(struct sockaddr *)&remoteaddr,&remoteaddr_len); + return 0; +} + diff --git a/legacy/linux/liblicks/licks_message/licks_message.c~ b/legacy/linux/liblicks/licks_message/licks_message.c~ new file mode 100755 index 0000000..af350ab --- /dev/null +++ b/legacy/linux/liblicks/licks_message/licks_message.c~ @@ -0,0 +1,84 @@ +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include +#include +#include +#include + +#include +#include + +#include "licks_message.h" + +int socket_fd; +struct pollfd poll_pollfd; +struct timespec timeout_ts; + +struct sockaddr_in localaddr; +struct sockaddr_in remoteaddr; +socklen_t remoteaddr_len; + +const int port=1337; + +void +licks_socket_open() { + socket_fd=socket(PF_INET,SOCK_DGRAM,0); + if(socket_fd==-1) { + perror("socket"); + exit(0); + } + printf("socket %d\n",socket_fd); + localaddr.sin_family=AF_INET; + localaddr.sin_port=htons(port); + inet_aton("0.0.0.0",&localaddr.sin_addr); + + if(bind(socket_fd,(struct sockaddr *)&localaddr,sizeof(struct sockaddr_in))) { + perror("bind"); + exit(0); + } +// printf("bound to %s\n",localaddr.sun_path); + + poll_pollfd.fd=socket_fd; + poll_pollfd.events=POLLIN; + + remoteaddr.sin_family=AF_INET; +} + +int +licks_socket_poll() { + return poll(&poll_pollfd,1,0); +} + +void +licks_socket_close() { + close(socket_fd); +} + +//void +//send_message(licks_message *m, char *to) { +// strcpy(remoteaddr.sin_path,to); +// sendto(socket_fd,m,sizeof(licks_message),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_in)); +//} + +void +send_reply(licks_message *m) { + sendto(socket_fd,m,strlen(m),0,(struct sockaddr *)&remoteaddr,sizeof(struct sockaddr_in)); +} + +char * +receive_message(licks_message *m) { + size_t real_len; + remoteaddr_len=sizeof(struct sockaddr_in); + memset( + real_len=recvfrom(socket_fd,m,sizeof(licks_message)-1,0,(struct sockaddr *)&remoteaddr,&remoteaddr_len); + return 0; +} + diff --git a/legacy/linux/liblicks/licks_message/licks_message.o b/legacy/linux/liblicks/licks_message/licks_message.o new file mode 100755 index 0000000000000000000000000000000000000000..0f20e9f08295ca6079fc27e896f44bbf48895e87 GIT binary patch literal 2155 zcmaJ?&ui2`6rOa~Wl^aGw_1NxSG$#>bty%JibxOkBHpAItHf<~whc`-HAzc*5oHlv zix=@=MGz_I#fuM*)((okMsd{hwiN`9+oW@o2@CMO zX-P&R-(a!qO2eO)q30>U>SkSIxc;NI*0FrCkR>IaX%a1`3-tI&+ya^BWNN?Hr#j$D z11lFW@d_(N9q}M{g=A$}?OY4T?^$fr(*>ojEU0N9r(_7(7lynA5OeKhsj4~)+MNNF)!uSL{z}a(&m+FG)HU+fhNmK2`W|OmD*b29n@lOC>t&zsxhZ( zyjEyKy}L<-db1>qm0kN#7`e_R^zfcg5G@czs%@vhSoY*L{;PaBTaW&SJe%?(I+Tla6KSWHxzx z)0WPZulwGH5X&)2R;gTeH}I~s9bf*}px(ykT!qH%F7AOxcC)$BHwiD=>;!Xi^ z#91KPubem!#5x1$xZ7=KnkJ=D z2c{F!9P!>u?S}Sqys94|aloY|ArvhT$6c)p8{5NB*@duVDrXm%Q} z`4yHJ>eM + +#include "linalg.h" + +void +copy_vector3d(vector3d *v1, vector3d *v2) { + v2->x=v1->x; + v2->y=v1->y; + v2->z=v1->z; +} + +void +add_vector3d(vector3d *v1, vector3d *v2, vector3d *result) { + result->x = v1->x + v2->x; + result->y = v1->y + v2->y; + result->z = v1->z + v2->z; +} + +void +sub_vector3d(vector3d *v1, vector3d *v2, vector3d *result) { + result->x = v1->x - v2->x; + result->y = v1->y - v2->y; + result->z = v1->z - v2->z; +} + +void +scalar_mult_vector3d(vector3d *v1, double s, vector3d *result) { + result->x = v1->x * s; + result->y = v1->y * s; + result->z = v1->z * s; +} + +void +cross_mult_vector3d(vector3d *v1, vector3d *v2, vector3d *result) { + vector3d t; + t.x = v1->y * v2->z - v1->z * v2->y; + t.y = v1->z * v2->x - v1->x * v2->z; + t.z = v1->x * v2->y - v1->y * v2->z; + copy_vector3d(&t,result); +} + +void +matrix_mult_vector3d(vector3d *v1, matrix3x3d *M, vector3d *result) { + vector3d t; + t.x = v1->x * M->x[0] + v1->y * M->y[0] + v1->z * M->z[0]; + t.y = v1->x * M->x[1] + v1->y * M->y[1] + v1->z * M->z[1]; + t.z = v1->x * M->x[2] + v1->y * M->y[2] + v1->z * M->z[2]; + copy_vector3d(&t,result); +} + +void +rot_x_vector3d(vector3d *v, double a, vector3d *result) { + vector3d t; + t.x = v->x; + t.y = v->y * cos(a) + v->z * sin(a); + t.z =-v->y * sin(a) + v->z * cos(a); + copy_vector3d(&t,result); +} + +void +rot_y_vector3d(vector3d *v, double a, vector3d *result) { + vector3d t; + t.x = v->x * cos(a) - v->z * sin(a); + t.y = v->y; + t.z = v->x * sin(a) + v->z * cos(a); + copy_vector3d(&t, result); +} + +void +rot_z_vector3d(vector3d *v, double a, vector3d *result) { + vector3d t; + t.x = v->x * cos(a) + v->y * sin(a); + t.y =-v->x * sin(a) + v->y * cos(a); + t.z = v->z; + copy_vector3d(&t, result); +} diff --git a/legacy/linux/liblicks/linalg/linalg.o b/legacy/linux/liblicks/linalg/linalg.o new file mode 100755 index 0000000000000000000000000000000000000000..a91dfa036b4c461c4af881178a73a6320f0fbb1c GIT binary patch literal 1780 zcmbtU&ubGw6rOD(MCf7B9141<5V5B;;Kkz}950$?wn*?9r3lIJ zD5d4xa?9nhScX8^h0p~KK1=XhA^FF-lI_|YnY|b!-_30}&TNLL>;P}w``MvsMmltf zEn{S)F}x2e#5#|4^NUI&gU=nRt861JAhpZT18k!EWU`w>iEe?3?)C^(dGrsodi0bl z_6v|@q>LbwGi0jwQF?o{8XXOU^`paq^#0(4nZpPoqRuTrGM0byEzu2Zh!4X$7j`?F zdQFF3Dm#3CJ|3$(^I5@MVX(0bgTY5>vXRF;&>^;tZ?ux&-x7R9m^>F+#f;Si-w^zS z(6h5p=u__SF?ZPK$Ca+6nYqvCF}s=_;)lcN{-Dpy10kN7hf2*a9QyPi@XJVl{l?6$ zQf9P^tv%U#q}2SvkxzTv%8VCT`Eb6%e-Ty(Vd6c*iG*?gE$`#$Tmxsy0|nE3;ZBI&LueNeT{M#wO>%fTRM8wyBbCT zC#uOvy{BQoRF36THzl7C@P}B%hH|?j@&*WiZoROcmy2$rd9y?;yHrv#XM01 +#include +#include + +#define NUM_SERVOS 24 + +uint32_t servo_pwm[NUM_SERVOS]={}; +uint32_t servo_offsets[NUM_SERVOS]={ + 0x432b, 0x3311, 0x2a88, + 0x3311, 0x2f77, 0x28b5, + 0x225d, 0x31bd, 0x2bed, + 0x3cf6, 0x322b, 0x3c65, + 0x344b, 0x2d96, 0x2ed1, + 0x279c, 0x2ffa, 0x39df, + 0x0000, 0x0000, 0x0000, + 0x0000, 0x0000, 0x0000, +}; + + +void +load_calibration(char *filename) { + unsigned int load_buffer[NUM_SERVOS]; + int bytes_read; + int fd; + fd=open(filename,O_RDONLY); + if(fd!=-1) { + bytes_read=read(fd,load_buffer,sizeof(load_buffer)); + if(bytes_read==sizeof(load_buffer)) { + memcpy(servo_offsets,load_buffer,sizeof(load_buffer)); + } else { + printf("load_calibration(%s): short read\n",filename); + } + close(fd); + } else { + perror(filename); + } +} diff --git a/legacy/linux/liblicks/servo/servo.o b/legacy/linux/liblicks/servo/servo.o new file mode 100755 index 0000000000000000000000000000000000000000..30fae0686f09bba1733abf0158759766e774a435 GIT binary patch literal 948 zcmZ`%&ubGw6#g~|B`9crAw4KWNoh57jn<3WLqP+Ay?QCg?lzfdAjvGV+g1;ym0lWZ zp@@hF{{a60Jqkh(MeyQZ&{LsMdo87ew(rgErqi^2FyH&;d*8lUX5N&Q0msc0FsNC^ zvP1DM)I5UElz!0qYeoAkr5uW`UGYLMk~F%6FJez3Ps06e&jdlT=j@uBm@lzUoo$$> z+V^w)ij%Ke+aLa~P;v8lUjO}SDcj2Acequn)Lvd_ABQg0vMvd0rLQfrt(MxSmV@Pm z19$dTmt`}<2ST^m9pIkyohceUcCQ*|{@GYxFFXVK#(|Z4QJ%jK{2T>d-vNF-0QL&N z{sd5X2nfnA9|2#+fPXgdZ3H+Z|JGwZ|Kg}iui})wdTmB|VNEtihl9IMa=}}v!kiLb zQeE%GCUc&+oVGp@X1UY}bCzfn$>Vlv2{Gp=eVNCYA^xwlMGtU6 z+=w;q!{-6FHAcG>9O;W@tnjpx!qE`#I}qdvY+N-D!s XB<^%SFdTi^jz8Z>sH|245e7H`AQ_9m literal 0 HcmV?d00001 diff --git a/legacy/linux/liblicks/spi/spi.c b/legacy/linux/liblicks/spi/spi.c new file mode 100755 index 0000000..e7f4162 --- /dev/null +++ b/legacy/linux/liblicks/spi/spi.c @@ -0,0 +1,132 @@ +#include +#include +#include + +#include +#include +#include +#include + +#include "spi.h" +#include "servo.h" + +static struct spi_message spi_message; +static struct spi_result spi_result; + +static int spi_fd; +static uint8_t spi_mode; +static uint32_t spi_speed; + + +void +spi_open(uint8_t mode, uint32_t speed) { + spi_fd=open("/dev/spidev1.0",O_RDWR); + if(spi_fd==-1) { + perror("spidev1.0"); + exit(20); + } +} + +void +spi_close() { + close(spi_fd); +} + +void +spi_update_servos() { + int i; + spi_result.status=SPI_BUSY; // assume busy + while(spi_result.status) { + if((spi_result.status==SPI_ERR)||(spi_result.status=SPI_DONE)) { + spi_message.cmd=CMD_FINISH; + write(spi_fd,&spi_message,1); + } else if((spi_result.status==SPI_WAIT)) { + spi_message.cmd=CMD_CONTINUE; + write(spi_fd,&spi_message,1); + } + read(spi_fd,&spi_result,1); + } + + spi_message.cmd=CMD_SET_SERVOS; + spi_message.length=sizeof(servo_pwm); + memcpy(spi_message.data,servo_pwm,sizeof(servo_pwm)); + + spi_message.checksum=0x00; + for(i=0;i +#include +#include +#include +#include + +#include + +#include "servo.h" +#include "spi.h" + +#include "ik.h" +#include "dynamic_sequencer.h" + +void ik_to_servos(bot *); +int get_time(); + +static bot idle_position = { + {0,0,0}, // world position + {0,0,0}, // world orientation + {0,0,-30}, // body position + {0,0,0}, // body orientation + + { // leg positions + {{ 166, 110, 0},}, // leg 0 + {{ 0, 160, 0},}, // leg 1 + {{-166, 110, 0},}, // ... + {{-166,-110, 0},}, + {{ 0,-160, 0},}, + {{ 166,-110, 0},} + } +}; + + +int +main(int argc, char **argv) { + struct termios t; + int flags_stdio; + + vector3d startup_vector={0,0,-100}; + vector3d v; + + sequencer_walk_parameters wp; + + int quit=0; + int frame=0; + int t_frame_start, t_frame_end; + + char c; + + load_calibration("/etc/calibration.bin"); + + spi_open(0,33000000); + + tcgetattr(0,&t); + t.c_lflag&=~(ICANON|ECHO); + tcsetattr(0,TCSANOW,&t); + + flags_stdio=fcntl(0, F_GETFL,0); + flags_stdio|=O_NONBLOCK; + fcntl(0, F_SETFL,flags_stdio); + + + sequencer_init(); + sequencer_move_body(0,100,&startup_vector); + + wp.step_direction.x=0; + wp.step_direction.y=0; + wp.step_direction.z=0; + wp.step_rotation=0; + + wp.step_duration=25; + wp.step_height=20; + + sequencer_walk(&wp); + + while(!quit) { + if(read(0,&c,1)==1) { + switch(c) { + case 27: + quit=1; + break; + case 'w': wp.step_direction.x+=5; break; + case 's': wp.step_direction.x-=5; break; + case 'a': wp.step_direction.y+=5; break; + case 'd': wp.step_direction.y-=5; break; + + case 'q': wp.step_rotation-=1.0*M_PI/180.0; break; + case 'e': wp.step_rotation+=1.0*M_PI/180.0; break; + case 'x': wp.step_direction.x=0; wp.step_direction.y=0; wp.step_rotation=0; break; + + case 'r': v.x=0; v.y=0; v.z=-10; sequencer_move_body(0,10,&v); break; + case 'f': v.x=0; v.y=0; v.z=10; sequencer_move_body(0,10,&v); break; + + + } + printf("x: %f y: %f h: %f d: %i rot: %f\n",wp.step_direction.x, wp.step_direction.y, wp.step_height, wp.step_duration, wp.step_rotation); + } + t_frame_start=get_time(); + sequencer_run_frame(&idle_position); + ik(&idle_position); + t_frame_end=get_time(); + if(t_frame_end-t_frame_start>20000) { + printf("frame %d slack %d\n",frame,t_frame_end-t_frame_start); + } + while(t_frame_endleg[i].ik_angle[j])) { + servo_pwm[i*3+j]=b->leg[i].ik_angle[j]*8.5*1800.0/M_PI+servo_offsets[i*3+j]; + } + } + } + spi_update_servos(); +} + + +int +get_time() { + struct timeval t; + gettimeofday(&t,NULL); + return (t.tv_sec*1000000+t.tv_usec); + +} diff --git a/legacy/linux/servooff/Makefile b/legacy/linux/servooff/Makefile new file mode 100755 index 0000000..dd2bd81 --- /dev/null +++ b/legacy/linux/servooff/Makefile @@ -0,0 +1,24 @@ +CC=gcc +CC=arm-linux-uclibc-gcc +STRIP=arm-linux-uclibc-strip +#STRIP=echo + +CFLAGS=-Wall -Os -pipe -mtune=arm9tdmi -march=armv5te -mabi=apcs-gnu -msoft-float -I../liblicks/include + +OBJS=main.o ../liblicks/liblicks.a +BIN=servooff + +$(BIN): $(OBJS) + $(CC) $(OBJS) -o $(BIN) -lm + $(STRIP) $(BIN) + +../liblicks/liblicks.a: + make -C ../liblicks/ liblicks.a + +../liblicks/liblicks-fakespi.a: + make -C ../liblicks/ liblicks-fakespi.a + + +clean: + rm -f *.o *~ $(BIN) + make -C ../liblicks/ clean \ No newline at end of file diff --git a/legacy/linux/servooff/main.c b/legacy/linux/servooff/main.c new file mode 100755 index 0000000..99a718b --- /dev/null +++ b/legacy/linux/servooff/main.c @@ -0,0 +1,11 @@ +#include +#include "spi.h" + +int +main(int argc, char **argv) { + int i; + spi_open(0,33000000); + for(i=0;i +#include +#include +#include +#include + +#include + +#include "servo.h" +#include "spi.h" + +#include "ik.h" +#include "dynamic_sequencer.h" + +void ik_to_servos(bot *); +int get_time(); + +static bot idle_position = { + {0,0,0}, // world position + {0,0,0}, // world orientation + {0,0,-30}, // body position + {0,0,0}, // body orientation + + { // leg positions + {{ 166, 110, 0},}, // leg 0 + {{ 0, 160, 0},}, // leg 1 + {{-166, 110, 0},}, // ... + {{-166,-110, 0},}, + {{ 0,-160, 0},}, + {{ 166,-110, 0},} + } +}; + + +int +main(int argc, char **argv) { + struct termios t; + int flags_stdio; + + vector3d startup_vector={0,0,-100}; + vector3d v; + + sequencer_walk_parameters wp; + + int quit=0; + int frame=0; + int t_frame_start, t_frame_end; + + char c; + + load_calibration("/etc/calibration.bin"); + + spi_open(0,33000000); + + tcgetattr(0,&t); + t.c_lflag&=~(ICANON|ECHO); + tcsetattr(0,TCSANOW,&t); + + flags_stdio=fcntl(0, F_GETFL,0); + flags_stdio|=O_NONBLOCK; + fcntl(0, F_SETFL,flags_stdio); + + + sequencer_init(); + sequencer_move_body(0,100,&startup_vector); + + wp.step_direction.x=0; + wp.step_direction.y=0; + wp.step_direction.z=0; + wp.step_rotation=0; + + wp.step_duration=25; + wp.step_height=30; + + sequencer_walk(&wp); + + while(!quit) { + if(read(0,&c,1)==1) { + switch(c) { + case 27: + quit=1; + break; + case 'w': wp.step_direction.x+=5; break; + case 's': wp.step_direction.x-=5; break; + case 'a': wp.step_direction.y+=5; break; + case 'd': wp.step_direction.y-=5; break; + + case 'q': wp.step_rotation-=1.0*M_PI/180.0; break; + case 'e': wp.step_rotation+=1.0*M_PI/180.0; break; + case 'x': wp.step_direction.x=0; wp.step_direction.y=0; wp.step_rotation=0; break; + + case 'r': v.x=0; v.y=0; v.z=-10; sequencer_move_body(0,10,&v); break; + case 'f': v.x=0; v.y=0; v.z=10; sequencer_move_body(0,10,&v); break; + + + } +// wp.step_height=fmax(10,2*sqrt(wp.step_direction.x*wp.step_direction.x+wp.step_direction.y*wp.step_direction.y)); + wp.step_duration=fmax(30,2*sqrt(wp.step_direction.x*wp.step_direction.x+wp.step_direction.y*wp.step_direction.y)); + printf("x: %f y: %f h: %f d: %i rot: %f\n",wp.step_direction.x, wp.step_direction.y, wp.step_height, wp.step_duration, wp.step_rotation); + } + sequencer_run_frame(&idle_position); + t_frame_start=get_time(); + ik(&idle_position); + t_frame_end=get_time(); + printf("t: %d\n",t_frame_end-t_frame_start); + if(t_frame_end-t_frame_start>20000) { + printf("frame %d slack %d\n",frame,t_frame_end-t_frame_start); + } + while(t_frame_endleg[i].ik_angle[j])) { + servo_pwm[i*3+j]=b->leg[i].ik_angle[j]*8.5*1800.0/M_PI+servo_offsets[i*3+j]; + } + } + } + spi_update_servos(); +} + + +int +get_time() { + struct timeval t; + gettimeofday(&t,NULL); + return (t.tv_sec*1000000+t.tv_usec); + +} diff --git a/legacy/linux/udpproxy/Makefile b/legacy/linux/udpproxy/Makefile new file mode 100755 index 0000000..37be9d0 --- /dev/null +++ b/legacy/linux/udpproxy/Makefile @@ -0,0 +1,24 @@ +CC=arm-linux-uclibc-gcc +STRIP=arm-linux-uclibc-strip +STRIP=echo + +CFLAGS=-Wall -Os -pipe -mtune=arm9tdmi -march=armv5te -mabi=apcs-gnu -msoft-float -I../liblicks/include +CFLAGS="-I../liblicks/include" + +OBJS=main.o ../liblicks/liblicks.a +BIN=udpproxy + +$(BIN): $(OBJS) + $(CC) $(OBJS) -o $(BIN) -lm + $(STRIP) $(BIN) + +../liblicks/liblicks.a: + make -C ../liblicks/ liblicks.a + +../liblicks/liblicks-fakespi.a: + make -C ../liblicks/ liblicks-fakespi.a + + +clean: + rm -f *.o *~ $(BIN) + make -C ../liblicks/ clean \ No newline at end of file diff --git a/legacy/linux/udpproxy/main.c b/legacy/linux/udpproxy/main.c new file mode 100755 index 0000000..b714cb9 --- /dev/null +++ b/legacy/linux/udpproxy/main.c @@ -0,0 +1,83 @@ +#include +#include +#include +#include +#include +#include + +#include +#include +#include + +#include "linalg.h" +#include "licks_message.h" + +licks_message message; +licks_net_message net_message; + +int udp_socket_fd; + +struct sockaddr_in localaddr; +struct sockaddr_in remoteaddr; + +int +main(int argc, char **argv) { + int quit=0; + udp_socket_fd=socket(PF_INET,SOCK_DGRAM,0); + if(udp_socket_fd==-1) { + perror("socket"); + exit(0); + } + + localaddr.sin_family=AF_INET; + localaddr.sin_port=htons(1337); + inet_aton("0.0.0.0",&localaddr.sin_addr);; + + if(bind(udp_socket_fd,(struct sockaddr *)&localaddr,sizeof(struct sockaddr_in))) { + perror("bind"); + exit(0); + } + + licks_socket_open("/tmp/udpproxy"); + while(!quit) { + read(udp_socket_fd,&net_message,sizeof(licks_net_message)); + switch(net_message.type) { + case MSG_QUIT: + message.type=MSG_QUIT; + send_message(&message,ikd_path); + break; + case MSG_POWER: + message.type=MSG_POWER; + message.i=net_message.i; + send_message(&message,ikd_path); + break; + case MSG_MOVE_BODY: + message.type=MSG_MOVE_BODY; + message.move_parameters.v.x=net_message.move_parameters.v.x/1000.0; + message.move_parameters.v.y=net_message.move_parameters.v.y/1000.0; + message.move_parameters.v.z=net_message.move_parameters.v.z/1000.0; + message.move_parameters.duration=net_message.move_parameters.duration; + send_message(&message,ikd_path); + break; + case MSG_WALK: + message.type=MSG_WALK; + message.walk_parameters.step_direction.x=net_message.move_parameters.v.x/1000.0; + message.walk_parameters.step_direction.y=net_message.move_parameters.v.y/1000.0; + message.walk_parameters.step_rotation=net_message.move_parameters.v.z/1000.0; + send_message(&message,ikd_path); + break; + case MSG_ROTATE_BODY: + message.type=MSG_ROTATE_BODY; + message.move_parameters.v.x=net_message.move_parameters.v.x/3276.8; + message.move_parameters.v.y=net_message.move_parameters.v.y/3276.8; + message.move_parameters.v.z=net_message.move_parameters.v.z/3276.8; + message.move_parameters.duration=net_message.move_parameters.duration; + send_message(&message,ikd_path); + break; + } + } + licks_socket_close(); + + close(udp_socket_fd); + return 0; +} diff --git a/legacy/spicontroller/Makefile b/legacy/spicontroller/Makefile new file mode 100755 index 0000000..508e3da --- /dev/null +++ b/legacy/spicontroller/Makefile @@ -0,0 +1,40 @@ +PREFIX=mips-elf- +CC=$(PREFIX)gcc +AS=$(PREFIX)as +OBJCOPY=$(PREFIX)objcopy + +CFLAGS=-Os -Wall -msoft-float -fomit-frame-pointer -I../libplasma/include -I../libhex/include -DPLASMA_PWM +LDFLAGS=-nostdlib -msoft-float -Wl,-Ttext,0x10000008 +#LIBS=-lm -lgcc -lc +LIBS=-lgcc + +OBJ=init.o main.o + +all: image + +transfer: image + ./transfer.sh + +image: main.bin loader.bin + cat loader.bin main.bin > image + +main.bin: main.elf + $(OBJCOPY) -O binary main.elf main.bin + +loader.bin: create_loader.sh main.elf + ./create_loader.sh + $(AS) -o loader.o loader.s + $(OBJCOPY) -O binary loader.o loader.bin + +init.o: init.s + $(AS) -o init.o init.s + +main.elf: $(OBJ) + $(CC) $(LDFLAGS) -o main.elf $(OBJ) $(LIBS) + +clean: + rm -f *.o *.bin + rm -f *~ + rm -f main.elf + rm -f image + rm -rf ../libhex/src/*.o ../libplasma/src/*.o \ No newline at end of file diff --git a/legacy/spicontroller/create_loader.sh b/legacy/spicontroller/create_loader.sh new file mode 100755 index 0000000..219782c --- /dev/null +++ b/legacy/spicontroller/create_loader.sh @@ -0,0 +1,19 @@ +#!/bin/bash + +GP=`mips-elf-nm ./main.elf | grep _gp | grep -v gpio | cut -b 1-8` +cat > loader.s << EOF + .text + .align 2 + .globl _start + .ent _start +_start: + .frame \$sp,16,\$31 # vars= 16, regs= 0/0, args= 0, gp= 0 + .mask 0x00000000,0 + .fmask 0x00000000,0 + .set noreorder + .set nomacro + + li \$gp,0x$GP + + .end _start +EOF diff --git a/legacy/spicontroller/image b/legacy/spicontroller/image new file mode 100755 index 0000000000000000000000000000000000000000..3a3391e2bca616cf582c1fa1fc57f80c1f4b2e77 GIT binary patch literal 5060 zcmeI0zl+pB6vw|whI8Q-9FG(!PRM9H4z-BYHHU=VTd_Plu{v_d*@=I_h1`iCfh}w; zWG!q0{skhW5X<`~tZlWB@%tvZU4I~AD>E=VZ(ioT_nptYYIip^c3!@C1&-az`r^(z zYk|}N+hz=`i=SiEFNvAg72O=AHf;f9hfrCFgSfO`fx^sBt5iNWwfu5~@g$!F&;{0H z`-8t`(ye@k9gnO@(C>N>Klw#S%iS~W5(T*T(lEe+o`itv73qf z0xoX0j0=aj+wx7~s8dVavd$Ei8ZPM)4{ny&V7(Fd8=N71>s&SO_>pVC`cEVe{g1hS zPJbuo=)W)iwsOE?XOjc>igT1H>OZM8l&*MB;Wy4s5oF}T&v&UQy6T|aks4GC7UL)J{{HERGyM7q@qqCoxD{2=j-P{LGWB>ju z?X2beZ=`l2+TS|u^)K2Z(ZXIm8nho$`=ZybJ6Bf?_1+7!<~4Z_8uCZ5(gT0g@H@Y= zf91E-P_FLmSW%1gvuGOn@)LVoNngr3^s}ZNiOu`FUXt^Ecmke)C*TQq0-k^;;0bsF eo`5Id33vjY!2eC4;Pv)7Q~nXbS#a)i9()JrQnLsE literal 0 HcmV?d00001 diff --git a/legacy/spicontroller/init.s b/legacy/spicontroller/init.s new file mode 100755 index 0000000..e6f3d48 --- /dev/null +++ b/legacy/spicontroller/init.s @@ -0,0 +1,24 @@ + .text + .align 2 + .globl _start + .ent _start +_start: + .frame $sp,16,$31 # vars= 16, regs= 0/0, args= 0, gp= 0 + .mask 0x00000000,0 + .fmask 0x00000000,0 + .set noreorder + .set nomacro + + nop + li $sp,0x100fffff + move $4,$0 + move $5,$0 + jal main + nop +end: + j $0 + nop + + .set macro + .set reorder + .end _start diff --git a/legacy/spicontroller/loader.s b/legacy/spicontroller/loader.s new file mode 100755 index 0000000..7e99ba3 --- /dev/null +++ b/legacy/spicontroller/loader.s @@ -0,0 +1,14 @@ + .text + .align 2 + .globl _start + .ent _start +_start: + .frame $sp,16,$31 # vars= 16, regs= 0/0, args= 0, gp= 0 + .mask 0x00000000,0 + .fmask 0x00000000,0 + .set noreorder + .set nomacro + + li $gp,0x100093a0 + + .end _start diff --git a/legacy/spicontroller/main.c b/legacy/spicontroller/main.c new file mode 100755 index 0000000..ad2f5ef --- /dev/null +++ b/legacy/spicontroller/main.c @@ -0,0 +1,137 @@ +#define SPI_IDLE 0x00 +#define SPI_WAIT 0x01 +#define SPI_BUSY 0x02 +#define SPI_DONE 0x03 +#define SPI_ERR 0x04 + +#define CMD_NOP 0x00 +#define CMD_CONTINUE 0x01 +#define CMD_FINISH 0x02 +#define CMD_CLEAR 0x8A + +#define CMD_SET_SERVOS 0x10 +#define CMD_SET_LED 0x11 +#define CMD_SET_LASER 0x12 + +#define CMD_GET_SERVOS 0x20 + +struct spi_buffer { + unsigned int cmd; + unsigned int length; + unsigned int checksum; + unsigned int data[255]; +}; + +volatile unsigned int *pwm=(unsigned int *)0x40000000; +volatile struct spi_buffer *spi_buffer=(struct spi_buffer *)0x50000000; + +volatile unsigned int *gpio_set=(unsigned int *)0x20000030; +volatile unsigned int *gpio_clear=(unsigned int *)0x20000040; +volatile unsigned int *gpio_in=(unsigned int *)0x20000050; + +volatile unsigned int *counter=(unsigned int *)0x20000060; + +int main() { + int i,length; + unsigned char cmd; + unsigned char checksum; + unsigned char led=0, pulsing_led=0; + + for(i=0;i<24;i++) pwm[i]=0; + + while(1) { + if(((*counter)>>17)&0x100) { + pulsing_led=((*counter)>>18)&0x7f; + } else { + pulsing_led=0x7f-(((*counter)>>18)&0xff); + } + if(led>((*counter)&0xff)) { *gpio_set=0x01; } else { *gpio_clear=0x01; } + if(pulsing_led>((*counter)&0xff)) { *gpio_set=0x02; } else { *gpio_clear=0x02; } + cmd=spi_buffer->cmd; + switch(cmd) { + case CMD_FINISH: + spi_buffer->cmd=SPI_IDLE; + break; + case CMD_CLEAR: + spi_buffer->cmd=SPI_BUSY; + spi_buffer->length=0x00; + spi_buffer->checksum=0x00; + for(i=1;i<2000;i++) { + spi_buffer->data[i]=0x00; + } + for(i=0;i<24;i++) { + pwm[i]=0; + } + spi_buffer->cmd=SPI_IDLE; + break; + case CMD_SET_SERVOS: + spi_buffer->cmd=SPI_WAIT; + while(spi_buffer->cmd!=CMD_CONTINUE); + spi_buffer->cmd=SPI_BUSY; + checksum=0; + length=spi_buffer->length; + for(i=0;idata[i]; + } + if(checksum != spi_buffer->checksum ) { + spi_buffer->cmd=SPI_ERR; + } else { + for(i=0;i<24;i++) { + pwm[i]=(spi_buffer->data[4*i+1]<<8)|spi_buffer->data[4*i]; + } + spi_buffer->cmd=SPI_DONE; + } + break; + case CMD_SET_LED: + spi_buffer->cmd=SPI_WAIT; + while(spi_buffer->cmd!=CMD_CONTINUE); + spi_buffer->cmd=SPI_BUSY; + checksum=0; + length=spi_buffer->length; + for(i=0;idata[i]; + } + if(checksum != spi_buffer->checksum ) { + spi_buffer->cmd=SPI_ERR; + } else { + led=spi_buffer->data[0]; + spi_buffer->cmd=SPI_DONE; + } + case CMD_SET_LASER: + spi_buffer->cmd=SPI_WAIT; + while(spi_buffer->cmd!=CMD_CONTINUE); + spi_buffer->cmd=SPI_BUSY; + checksum=0; + length=spi_buffer->length; + for(i=0;idata[i]; + } + if(checksum != spi_buffer->checksum ) { + spi_buffer->cmd=SPI_ERR; + } else { + if(spi_buffer->data[0]) { + *gpio_set=0x04; + } else { + *gpio_clear=0x04; + } + spi_buffer->cmd=SPI_DONE; + } + +/* case CMD_GET_SERVOS: + spi_buffer->cmd=SPI_BUSY; + spi_buffer->length=0x00; + spi_buffer->checksum=0x00; + for(i=0;i<24;i++) { + spi_buffer->data[2*i]=pwm[i]; + spi_buffer->data[2*i+1]=pwm[i]>>8; + } + spi_buffer->cmd=SPI_DONE; + break; +*/ + default: + break; + } + } + return 0; +} + diff --git a/legacy/spicontroller/transfer.sh b/legacy/spicontroller/transfer.sh new file mode 100755 index 0000000..4805fef --- /dev/null +++ b/legacy/spicontroller/transfer.sh @@ -0,0 +1,14 @@ +#!/bin/bash + +SERIAL=/dev/tty.USA19QWfd31P1.1 +echo -ne "7" > $SERIAL +sleep 1 +echo -ne "10000000\r" > $SERIAL +sleep 1 +printf "%08x\r" `stat -f %z image` > $SERIAL +sleep 1 +cat image > $SERIAL +sleep 1 +echo -ne 5 > $SERIAL +sleep 1 +echo 10000000 > $SERIAL diff --git a/legacy/spicontroller/transfer_buildroot.sh b/legacy/spicontroller/transfer_buildroot.sh new file mode 100755 index 0000000..f1a7919 --- /dev/null +++ b/legacy/spicontroller/transfer_buildroot.sh @@ -0,0 +1,16 @@ +#!/bin/ash + +SERIAL=/dev/ttyS1 +stty -F /dev/ttyS1 speed 115200 -icanon -isig -iexten -echo -icrnl -imaxbel -ignbrk -brkint -opost -onlcr cs8 -parenb clocal + +echo -ne "7" > $SERIAL +sleep 1 +echo -ne "10000000\r" > $SERIAL +sleep 1 +printf "%08x\r" `ls -l image | cut -b 38-42` > $SERIAL +sleep 1 +cat image > $SERIAL +sleep 1 +echo -ne 5 > $SERIAL +sleep 1 +echo 10000000 > $SERIAL diff --git a/legacy/vhdl/alu.vhd b/legacy/vhdl/alu.vhd new file mode 100755 index 0000000..df7599b --- /dev/null +++ b/legacy/vhdl/alu.vhd @@ -0,0 +1,61 @@ +--------------------------------------------------------------------- +-- TITLE: Arithmetic Logic Unit +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 2/8/01 +-- FILENAME: alu.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Implements the ALU. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use work.mlite_pack.all; + +entity alu is + generic(alu_type : string := "DEFAULT"); + port(a_in : in std_logic_vector(31 downto 0); + b_in : in std_logic_vector(31 downto 0); + alu_function : in alu_function_type; + c_alu : out std_logic_vector(31 downto 0)); +end; --alu + +architecture logic of alu is + signal do_add : std_logic; + signal sum : std_logic_vector(32 downto 0); + signal less_than : std_logic; +begin + + do_add <= '1' when alu_function = ALU_ADD else '0'; + sum <= bv_adder(a_in, b_in, do_add); + less_than <= sum(32) when a_in(31) = b_in(31) or alu_function = ALU_LESS_THAN + else a_in(31); + + GENERIC_ALU: if alu_type = "DEFAULT" generate + c_alu <= sum(31 downto 0) when alu_function=ALU_ADD or + alu_function=ALU_SUBTRACT else + ZERO(31 downto 1) & less_than when alu_function=ALU_LESS_THAN or + alu_function=ALU_LESS_THAN_SIGNED else + a_in or b_in when alu_function=ALU_OR else + a_in and b_in when alu_function=ALU_AND else + a_in xor b_in when alu_function=ALU_XOR else + a_in nor b_in when alu_function=ALU_NOR else + ZERO; + end generate; + + AREA_OPTIMIZED_ALU: if alu_type/="DEFAULT" generate + c_alu <= sum(31 downto 0) when alu_function=ALU_ADD or + alu_function=ALU_SUBTRACT else (others => 'Z'); + c_alu <= ZERO(31 downto 1) & less_than when alu_function=ALU_LESS_THAN or + alu_function=ALU_LESS_THAN_SIGNED else + (others => 'Z'); + c_alu <= a_in or b_in when alu_function=ALU_OR else (others => 'Z'); + c_alu <= a_in and b_in when alu_function=ALU_AND else (others => 'Z'); + c_alu <= a_in xor b_in when alu_function=ALU_XOR else (others => 'Z'); + c_alu <= a_in nor b_in when alu_function=ALU_NOR else (others => 'Z'); + c_alu <= ZERO when alu_function=ALU_NOTHING else (others => 'Z'); + end generate; + +end; --architecture logic + diff --git a/legacy/vhdl/bus_mux.vhd b/legacy/vhdl/bus_mux.vhd new file mode 100755 index 0000000..0d94858 --- /dev/null +++ b/legacy/vhdl/bus_mux.vhd @@ -0,0 +1,136 @@ +--------------------------------------------------------------------- +-- TITLE: Bus Multiplexer / Signal Router +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 2/8/01 +-- FILENAME: bus_mux.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- This entity is the main signal router. +-- It multiplexes signals from multiple sources to the correct location. +-- The outputs are as follows: +-- a_bus : goes to the ALU +-- b_bus : goes to the ALU +-- reg_dest_out : goes to the register bank +-- take_branch : goes to pc_next +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use work.mlite_pack.all; + +entity bus_mux is + port(imm_in : in std_logic_vector(15 downto 0); + reg_source : in std_logic_vector(31 downto 0); + a_mux : in a_source_type; + a_out : out std_logic_vector(31 downto 0); + + reg_target : in std_logic_vector(31 downto 0); + b_mux : in b_source_type; + b_out : out std_logic_vector(31 downto 0); + + c_bus : in std_logic_vector(31 downto 0); + c_memory : in std_logic_vector(31 downto 0); + c_pc : in std_logic_vector(31 downto 2); + c_pc_plus4 : in std_logic_vector(31 downto 2); + c_mux : in c_source_type; + reg_dest_out : out std_logic_vector(31 downto 0); + + branch_func : in branch_function_type; + take_branch : out std_logic); +end; --entity bus_mux + +architecture logic of bus_mux is +begin + +--Determine value of a_bus +amux: process(reg_source, imm_in, a_mux, c_pc) +begin + case a_mux is + when A_FROM_REG_SOURCE => + a_out <= reg_source; + when A_FROM_IMM10_6 => + a_out <= ZERO(31 downto 5) & imm_in(10 downto 6); + when A_FROM_PC => + a_out <= c_pc & "00"; + when others => + a_out <= c_pc & "00"; + end case; +end process; + +--Determine value of b_bus +bmux: process(reg_target, imm_in, b_mux) +begin + case b_mux is + when B_FROM_REG_TARGET => + b_out <= reg_target; + when B_FROM_IMM => + b_out <= ZERO(31 downto 16) & imm_in; + when B_FROM_SIGNED_IMM => + if imm_in(15) = '0' then + b_out(31 downto 16) <= ZERO(31 downto 16); + else + b_out(31 downto 16) <= "1111111111111111"; + end if; + b_out(15 downto 0) <= imm_in; + when B_FROM_IMMX4 => + if imm_in(15) = '0' then + b_out(31 downto 18) <= "00000000000000"; + else + b_out(31 downto 18) <= "11111111111111"; + end if; + b_out(17 downto 0) <= imm_in & "00"; + when others => + b_out <= reg_target; + end case; +end process; + +--Determine value of c_bus +cmux: process(c_bus, c_memory, c_pc, c_pc_plus4, imm_in, c_mux) +begin + case c_mux is + when C_FROM_ALU => -- | C_FROM_SHIFT | C_FROM_MULT => + reg_dest_out <= c_bus; + when C_FROM_MEMORY => + reg_dest_out <= c_memory; + when C_FROM_PC => + reg_dest_out <= c_pc(31 downto 2) & "00"; + when C_FROM_PC_PLUS4 => + reg_dest_out <= c_pc_plus4 & "00"; + when C_FROM_IMM_SHIFT16 => + reg_dest_out <= imm_in & ZERO(15 downto 0); + when others => + reg_dest_out <= c_bus; + end case; +end process; + +--Determine value of take_branch +pc_mux: process(branch_func, reg_source, reg_target) + variable is_equal : std_logic; +begin + if reg_source = reg_target then + is_equal := '1'; + else + is_equal := '0'; + end if; + case branch_func is + when BRANCH_LTZ => + take_branch <= reg_source(31); + when BRANCH_LEZ => + take_branch <= reg_source(31) or is_equal; + when BRANCH_EQ => + take_branch <= is_equal; + when BRANCH_NE => + take_branch <= not is_equal; + when BRANCH_GEZ => + take_branch <= not reg_source(31); + when BRANCH_GTZ => + take_branch <= not reg_source(31) and not is_equal; + when BRANCH_YES => + take_branch <= '1'; + when others => + take_branch <= '0'; + end case; +end process; + +end; --architecture logic diff --git a/legacy/vhdl/control.vhd b/legacy/vhdl/control.vhd new file mode 100755 index 0000000..457bf71 --- /dev/null +++ b/legacy/vhdl/control.vhd @@ -0,0 +1,481 @@ +--------------------------------------------------------------------- +-- TITLE: Controller / Opcode Decoder +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 2/8/01 +-- FILENAME: control.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- NOTE: MIPS(tm) is a registered trademark of MIPS Technologies. +-- MIPS Technologies does not endorse and is not associated with +-- this project. +-- DESCRIPTION: +-- Controls the CPU by decoding the opcode and generating control +-- signals to the rest of the CPU. +-- This entity decodes the MIPS(tm) opcode into a +-- Very-Long-Word-Instruction. +-- The 32-bit opcode is converted to a +-- 6+6+6+16+4+2+4+3+2+2+3+2+4 = 60 bit VLWI opcode. +-- Based on information found in: +-- "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich +-- and "The Designer's Guide to VHDL" by Peter J. Ashenden +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use work.mlite_pack.all; + +entity control is + port(opcode : in std_logic_vector(31 downto 0); + intr_signal : in std_logic; + rs_index : out std_logic_vector(5 downto 0); + rt_index : out std_logic_vector(5 downto 0); + rd_index : out std_logic_vector(5 downto 0); + imm_out : out std_logic_vector(15 downto 0); + alu_func : out alu_function_type; + shift_func : out shift_function_type; + mult_func : out mult_function_type; + branch_func : out branch_function_type; + a_source_out : out a_source_type; + b_source_out : out b_source_type; + c_source_out : out c_source_type; + pc_source_out: out pc_source_type; + mem_source_out:out mem_source_type; + exception_out: out std_logic); +end; --entity control + +architecture logic of control is +begin + +control_proc: process(opcode, intr_signal) + variable op, func : std_logic_vector(5 downto 0); + variable rs, rt, rd : std_logic_vector(5 downto 0); + variable rtx : std_logic_vector(4 downto 0); + variable imm : std_logic_vector(15 downto 0); + variable alu_function : alu_function_type; + variable shift_function : shift_function_type; + variable mult_function : mult_function_type; + variable a_source : a_source_type; + variable b_source : b_source_type; + variable c_source : c_source_type; + variable pc_source : pc_source_type; + variable branch_function: branch_function_type; + variable mem_source : mem_source_type; + variable is_syscall : std_logic; +begin + alu_function := ALU_NOTHING; + shift_function := SHIFT_NOTHING; + mult_function := MULT_NOTHING; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_REG_TARGET; + c_source := C_FROM_NULL; + pc_source := FROM_INC4; + branch_function := BRANCH_EQ; + mem_source := MEM_FETCH; + op := opcode(31 downto 26); + rs := '0' & opcode(25 downto 21); + rt := '0' & opcode(20 downto 16); + rtx := opcode(20 downto 16); + rd := '0' & opcode(15 downto 11); + func := opcode(5 downto 0); + imm := opcode(15 downto 0); + is_syscall := '0'; + + case op is + when "000000" => --SPECIAL + case func is + when "000000" => --SLL r[rd]=r[rt]< --SRL r[rd]=u[rt]>>re; + a_source := A_FROM_IMM10_6; + c_source := C_FROM_shift; + shift_function := SHIFT_RIGHT_UNSIGNED; + + when "000011" => --SRA r[rd]=r[rt]>>re; + a_source := A_FROM_IMM10_6; + c_source := C_FROM_SHIFT; + shift_function := SHIFT_RIGHT_SIGNED; + + when "000100" => --SLLV r[rd]=r[rt]< --SRLV r[rd]=u[rt]>>r[rs]; + c_source := C_FROM_SHIFT; + shift_function := SHIFT_RIGHT_UNSIGNED; + + when "000111" => --SRAV r[rd]=r[rt]>>r[rs]; + c_source := C_FROM_SHIFT; + shift_function := SHIFT_RIGHT_SIGNED; + + when "001000" => --JR s->pc_next=r[rs]; + pc_source := FROM_BRANCH; + alu_function := ALU_ADD; + branch_function := BRANCH_YES; + + when "001001" => --JALR r[rd]=s->pc_next; s->pc_next=r[rs]; + c_source := C_FROM_PC_PLUS4; + pc_source := FROM_BRANCH; + alu_function := ALU_ADD; + branch_function := BRANCH_YES; + + --when "001010" => --MOVZ if(!r[rt]) r[rd]=r[rs]; /*IV*/ + --when "001011" => --MOVN if(r[rt]) r[rd]=r[rs]; /*IV*/ + + when "001100" => --SYSCALL + is_syscall := '1'; + + when "001101" => --BREAK s->wakeup=1; + is_syscall := '1'; + + --when "001111" => --SYNC s->wakeup=1; + + when "010000" => --MFHI r[rd]=s->hi; + c_source := C_FROM_MULT; + mult_function := MULT_READ_HI; + + when "010001" => --FTHI s->hi=r[rs]; + mult_function := MULT_WRITE_HI; + + when "010010" => --MFLO r[rd]=s->lo; + c_source := C_FROM_MULT; + mult_function := MULT_READ_LO; + + when "010011" => --MTLO s->lo=r[rs]; + mult_function := MULT_WRITE_LO; + + when "011000" => --MULT s->lo=r[rs]*r[rt]; s->hi=0; + mult_function := MULT_SIGNED_MULT; + + when "011001" => --MULTU s->lo=r[rs]*r[rt]; s->hi=0; + mult_function := MULT_MULT; + + when "011010" => --DIV s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt]; + mult_function := MULT_SIGNED_DIVIDE; + + when "011011" => --DIVU s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt]; + mult_function := MULT_DIVIDE; + + when "100000" => --ADD r[rd]=r[rs]+r[rt]; + c_source := C_FROM_ALU; + alu_function := ALU_ADD; + + when "100001" => --ADDU r[rd]=r[rs]+r[rt]; + c_source := C_FROM_ALU; + alu_function := ALU_ADD; + + when "100010" => --SUB r[rd]=r[rs]-r[rt]; + c_source := C_FROM_ALU; + alu_function := ALU_SUBTRACT; + + when "100011" => --SUBU r[rd]=r[rs]-r[rt]; + c_source := C_FROM_ALU; + alu_function := ALU_SUBTRACT; + + when "100100" => --AND r[rd]=r[rs]&r[rt]; + c_source := C_FROM_ALU; + alu_function := ALU_AND; + + when "100101" => --OR r[rd]=r[rs]|r[rt]; + c_source := C_FROM_ALU; + alu_function := ALU_OR; + + when "100110" => --XOR r[rd]=r[rs]^r[rt]; + c_source := C_FROM_ALU; + alu_function := ALU_XOR; + + when "100111" => --NOR r[rd]=~(r[rs]|r[rt]); + c_source := C_FROM_ALU; + alu_function := ALU_NOR; + + when "101010" => --SLT r[rd]=r[rs] --SLTU r[rd]=u[rs] --DADDU r[rd]=r[rs]+u[rt]; + c_source := C_FROM_ALU; + alu_function := ALU_ADD; + + --when "110001" => --TGEU + --when "110010" => --TLT + --when "110011" => --TLTU + --when "110100" => --TEQ + --when "110110" => --TNE + when others => + end case; + + when "000001" => --REGIMM + rt := "000000"; + rd := "011111"; + a_source := A_FROM_PC; + b_source := B_FROM_IMMX4; + alu_function := ALU_ADD; + pc_source := FROM_BRANCH; + branch_function := BRANCH_GTZ; + --if(test) pc=pc+imm*4 + + case rtx is + when "10000" => --BLTZAL r[31]=s->pc_next; branch=r[rs]<0; + c_source := C_FROM_PC_PLUS4; + branch_function := BRANCH_LTZ; + + when "00000" => --BLTZ branch=r[rs]<0; + branch_function := BRANCH_LTZ; + + when "10001" => --BGEZAL r[31]=s->pc_next; branch=r[rs]>=0; + c_source := C_FROM_PC_PLUS4; + branch_function := BRANCH_GEZ; + + when "00001" => --BGEZ branch=r[rs]>=0; + branch_function := BRANCH_GEZ; + + --when "10010" => --BLTZALL r[31]=s->pc_next; lbranch=r[rs]<0; + --when "00010" => --BLTZL lbranch=r[rs]<0; + --when "10011" => --BGEZALL r[31]=s->pc_next; lbranch=r[rs]>=0; + --when "00011" => --BGEZL lbranch=r[rs]>=0; + + when others => + end case; + + when "000011" => --JAL r[31]=s->pc_next; s->pc_next=(s->pc&0xf0000000)|target; + c_source := C_FROM_PC_PLUS4; + rd := "011111"; + pc_source := FROM_OPCODE25_0; + + when "000010" => --J s->pc_next=(s->pc&0xf0000000)|target; + pc_source := FROM_OPCODE25_0; + + when "000100" => --BEQ branch=r[rs]==r[rt]; + a_source := A_FROM_PC; + b_source := B_FROM_IMMX4; + alu_function := ALU_ADD; + pc_source := FROM_BRANCH; + branch_function := BRANCH_EQ; + + when "000101" => --BNE branch=r[rs]!=r[rt]; + a_source := A_FROM_PC; + b_source := B_FROM_IMMX4; + alu_function := ALU_ADD; + pc_source := FROM_BRANCH; + branch_function := BRANCH_NE; + + when "000110" => --BLEZ branch=r[rs]<=0; + a_source := A_FROM_PC; + b_source := b_FROM_IMMX4; + alu_function := ALU_ADD; + pc_source := FROM_BRANCH; + branch_function := BRANCH_LEZ; + + when "000111" => --BGTZ branch=r[rs]>0; + a_source := A_FROM_PC; + b_source := B_FROM_IMMX4; + alu_function := ALU_ADD; + pc_source := FROM_BRANCH; + branch_function := BRANCH_GTZ; + + when "001000" => --ADDI r[rt]=r[rs]+(short)imm; + b_source := B_FROM_SIGNED_IMM; + c_source := C_FROM_ALU; + rd := rt; + alu_function := ALU_ADD; + + when "001001" => --ADDIU u[rt]=u[rs]+(short)imm; + b_source := B_FROM_SIGNED_IMM; + c_source := C_FROM_ALU; + rd := rt; + alu_function := ALU_ADD; + + when "001010" => --SLTI r[rt]=r[rs]<(short)imm; + b_source := B_FROM_SIGNED_IMM; + c_source := C_FROM_ALU; + rd := rt; + alu_function := ALU_LESS_THAN_SIGNED; + + when "001011" => --SLTIU u[rt]=u[rs]<(unsigned long)(short)imm; + b_source := B_FROM_IMM; + c_source := C_FROM_ALU; + rd := rt; + alu_function := ALU_LESS_THAN; + + when "001100" => --ANDI r[rt]=r[rs]&imm; + b_source := B_FROM_IMM; + c_source := C_FROM_ALU; + rd := rt; + alu_function := ALU_AND; + + when "001101" => --ORI r[rt]=r[rs]|imm; + b_source := B_FROM_IMM; + c_source := C_FROM_ALU; + rd := rt; + alu_function := ALU_OR; + + when "001110" => --XORI r[rt]=r[rs]^imm; + b_source := B_FROM_IMM; + c_source := C_FROM_ALU; + rd := rt; + alu_function := ALU_XOR; + + when "001111" => --LUI r[rt]=(imm<<16); + c_source := C_FROM_IMM_SHIFT16; + rd := rt; + + when "010000" => --COP0 + alu_function := ALU_OR; + c_source := C_FROM_ALU; + if opcode(23) = '0' then --move from CP0 + rs := '1' & opcode(15 downto 11); + rt := "000000"; + rd := '0' & opcode(20 downto 16); + else --move to CP0 + rs := "000000"; + rd(5) := '1'; + pc_source := FROM_BRANCH; --delay possible interrupt + branch_function := BRANCH_NO; + end if; + + --when "010001" => --COP1 + --when "010010" => --COP2 + --when "010011" => --COP3 + --when "010100" => --BEQL lbranch=r[rs]==r[rt]; + --when "010101" => --BNEL lbranch=r[rs]!=r[rt]; + --when "010110" => --BLEZL lbranch=r[rs]<=0; + --when "010111" => --BGTZL lbranch=r[rs]>0; + + when "100000" => --LB r[rt]=*(signed char*)ptr; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + rd := rt; + c_source := C_FROM_MEMORY; + mem_source := MEM_READ8S; --address=(short)imm+r[rs]; + + when "100001" => --LH r[rt]=*(signed short*)ptr; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + rd := rt; + c_source := C_FROM_MEMORY; + mem_source := MEM_READ16S; --address=(short)imm+r[rs]; + + when "100010" => --LWL //Not Implemented + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + rd := rt; + c_source := C_FROM_MEMORY; + mem_source := MEM_READ32; + + when "100011" => --LW r[rt]=*(long*)ptr; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + rd := rt; + c_source := C_FROM_MEMORY; + mem_source := MEM_READ32; + + when "100100" => --LBU r[rt]=*(unsigned char*)ptr; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + rd := rt; + c_source := C_FROM_MEMORY; + mem_source := MEM_READ8; --address=(short)imm+r[rs]; + + when "100101" => --LHU r[rt]=*(unsigned short*)ptr; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + rd := rt; + c_source := C_FROM_MEMORY; + mem_source := MEM_READ16; --address=(short)imm+r[rs]; + + --when "100110" => --LWR //Not Implemented + + when "101000" => --SB *(char*)ptr=(char)r[rt]; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + mem_source := MEM_WRITE8; --address=(short)imm+r[rs]; + + when "101001" => --SH *(short*)ptr=(short)r[rt]; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + mem_source := MEM_WRITE16; + + when "101010" => --SWL //Not Implemented + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + mem_source := MEM_WRITE32; --address=(short)imm+r[rs]; + + when "101011" => --SW *(long*)ptr=r[rt]; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_SIGNED_IMM; + alu_function := ALU_ADD; + mem_source := MEM_WRITE32; --address=(short)imm+r[rs]; + + --when "101110" => --SWR //Not Implemented + --when "101111" => --CACHE + --when "110000" => --LL r[rt]=*(long*)ptr; + --when "110001" => --LWC1 + --when "110010" => --LWC2 + --when "110011" => --LWC3 + --when "110101" => --LDC1 + --when "110110" => --LDC2 + --when "110111" => --LDC3 + --when "111000" => --SC *(long*)ptr=r[rt]; r[rt]=1; + --when "111001" => --SWC1 + --when "111010" => --SWC2 + --when "111011" => --SWC3 + --when "111101" => --SDC1 + --when "111110" => --SDC2 + --when "111111" => --SDC3 + when others => + end case; + + if c_source = C_FROM_NULL then + rd := "000000"; + end if; + + if intr_signal = '1' or is_syscall = '1' then + rs := "111111"; --interrupt vector + rt := "000000"; + rd := "101110"; --save PC in EPC + alu_function := ALU_OR; + shift_function := SHIFT_NOTHING; + mult_function := MULT_NOTHING; + branch_function := BRANCH_YES; + a_source := A_FROM_REG_SOURCE; + b_source := B_FROM_REG_TARGET; + c_source := C_FROM_PC; + pc_source := FROM_LBRANCH; + mem_source := MEM_FETCH; + exception_out <= '1'; + else + exception_out <= '0'; + end if; + + rs_index <= rs; + rt_index <= rt; + rd_index <= rd; + imm_out <= imm; + alu_func <= alu_function; + shift_func <= shift_function; + mult_func <= mult_function; + branch_func <= branch_function; + a_source_out <= a_source; + b_source_out <= b_source; + c_source_out <= c_source; + pc_source_out <= pc_source; + mem_source_out <= mem_source; + +end process; + +end; --logic diff --git a/legacy/vhdl/mem_ctrl.vhd b/legacy/vhdl/mem_ctrl.vhd new file mode 100755 index 0000000..8188f03 --- /dev/null +++ b/legacy/vhdl/mem_ctrl.vhd @@ -0,0 +1,196 @@ +--------------------------------------------------------------------- +-- TITLE: Memory Controller +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 1/31/01 +-- FILENAME: mem_ctrl.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Memory controller for the Plasma CPU. +-- Supports Big or Little Endian mode. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use work.mlite_pack.all; + +entity mem_ctrl is + port(clk : in std_logic; + reset_in : in std_logic; + pause_in : in std_logic; + nullify_op : in std_logic; + address_pc : in std_logic_vector(31 downto 2); + opcode_out : out std_logic_vector(31 downto 0); + + address_in : in std_logic_vector(31 downto 0); + mem_source : in mem_source_type; + data_write : in std_logic_vector(31 downto 0); + data_read : out std_logic_vector(31 downto 0); + pause_out : out std_logic; + + address_next : out std_logic_vector(31 downto 2); + byte_we_next : out std_logic_vector(3 downto 0); + + address : out std_logic_vector(31 downto 2); + byte_we : out std_logic_vector(3 downto 0); + data_w : out std_logic_vector(31 downto 0); + data_r : in std_logic_vector(31 downto 0)); +end; --entity mem_ctrl + +architecture logic of mem_ctrl is + --"00" = big_endian; "11" = little_endian + constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00"; + signal opcode_reg : std_logic_vector(31 downto 0); + signal next_opcode_reg : std_logic_vector(31 downto 0); + signal address_reg : std_logic_vector(31 downto 2); + signal byte_we_reg : std_logic_vector(3 downto 0); + + signal mem_state_reg : std_logic; + constant STATE_ADDR : std_logic := '0'; + constant STATE_ACCESS : std_logic := '1'; + +begin + +mem_proc: process(clk, reset_in, pause_in, nullify_op, + address_pc, address_in, mem_source, data_write, + data_r, opcode_reg, next_opcode_reg, mem_state_reg, + address_reg, byte_we_reg) + variable address_var : std_logic_vector(31 downto 2); + variable data_read_var : std_logic_vector(31 downto 0); + variable data_write_var : std_logic_vector(31 downto 0); + variable opcode_next : std_logic_vector(31 downto 0); + variable byte_we_var : std_logic_vector(3 downto 0); + variable mem_state_next : std_logic; + variable pause_var : std_logic; + variable bits : std_logic_vector(1 downto 0); +begin + byte_we_var := "0000"; + pause_var := '0'; + data_read_var := ZERO; + data_write_var := ZERO; + mem_state_next := mem_state_reg; + opcode_next := opcode_reg; + + case mem_source is + when MEM_READ32 => + data_read_var := data_r; + + when MEM_READ16 | MEM_READ16S => + if address_in(1) = ENDIAN_MODE(1) then + data_read_var(15 downto 0) := data_r(31 downto 16); + else + data_read_var(15 downto 0) := data_r(15 downto 0); + end if; + if mem_source = MEM_READ16 or data_read_var(15) = '0' then + data_read_var(31 downto 16) := ZERO(31 downto 16); + else + data_read_var(31 downto 16) := ONES(31 downto 16); + end if; + + when MEM_READ8 | MEM_READ8S => + bits := address_in(1 downto 0) xor ENDIAN_MODE; + case bits is + when "00" => data_read_var(7 downto 0) := data_r(31 downto 24); + when "01" => data_read_var(7 downto 0) := data_r(23 downto 16); + when "10" => data_read_var(7 downto 0) := data_r(15 downto 8); + when others => data_read_var(7 downto 0) := data_r(7 downto 0); + end case; + if mem_source = MEM_READ8 or data_read_var(7) = '0' then + data_read_var(31 downto 8) := ZERO(31 downto 8); + else + data_read_var(31 downto 8) := ONES(31 downto 8); + end if; + + when MEM_WRITE32 => + data_write_var := data_write; + byte_we_var := "1111"; + + when MEM_WRITE16 => + data_write_var := data_write(15 downto 0) & data_write(15 downto 0); + if address_in(1) = ENDIAN_MODE(1) then + byte_we_var := "1100"; + else + byte_we_var := "0011"; + end if; + + when MEM_WRITE8 => + data_write_var := data_write(7 downto 0) & data_write(7 downto 0) & + data_write(7 downto 0) & data_write(7 downto 0); + bits := address_in(1 downto 0) xor ENDIAN_MODE; + case bits is + when "00" => + byte_we_var := "1000"; + when "01" => + byte_we_var := "0100"; + when "10" => + byte_we_var := "0010"; + when others => + byte_we_var := "0001"; + end case; + + when others => + end case; + + if mem_source = MEM_FETCH then --opcode fetch + address_var := address_pc; + opcode_next := data_r; + mem_state_next := STATE_ADDR; + else + if mem_state_reg = STATE_ADDR then + if pause_in = '0' then + address_var := address_in(31 downto 2); + mem_state_next := STATE_ACCESS; + pause_var := '1'; + else + address_var := address_pc; + byte_we_var := "0000"; + end if; + else --STATE_ACCESS + if pause_in = '0' then + address_var := address_pc; + opcode_next := next_opcode_reg; + mem_state_next := STATE_ADDR; + byte_we_var := "0000"; + else + address_var := address_in(31 downto 2); + byte_we_var := "0000"; + end if; + end if; + end if; + + if nullify_op = '1' and pause_in = '0' then + opcode_next := ZERO; --NOP after beql + end if; + + if reset_in = '1' then + mem_state_reg <= STATE_ADDR; + opcode_reg <= ZERO; + next_opcode_reg <= ZERO; + address_reg <= ZERO(31 downto 2); + byte_we_reg <= "0000"; + elsif rising_edge(clk) then + if pause_in = '0' then + address_reg <= address_var; + byte_we_reg <= byte_we_var; + mem_state_reg <= mem_state_next; + opcode_reg <= opcode_next; + if mem_state_reg = STATE_ADDR then + next_opcode_reg <= data_r; + end if; + end if; + end if; + + opcode_out <= opcode_reg; + data_read <= data_read_var; + pause_out <= pause_var; + + address_next <= address_var; + byte_we_next <= byte_we_var; + + address <= address_reg; + byte_we <= byte_we_reg; + data_w <= data_write_var; + +end process; --data_proc + +end; --architecture logic diff --git a/legacy/vhdl/mlite_cpu.vhd b/legacy/vhdl/mlite_cpu.vhd new file mode 100755 index 0000000..2818896 --- /dev/null +++ b/legacy/vhdl/mlite_cpu.vhd @@ -0,0 +1,342 @@ +--------------------------------------------------------------------- +-- TITLE: Plasma CPU core +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 2/15/01 +-- FILENAME: mlite_cpu.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- NOTE: MIPS(tm) and MIPS I(tm) are registered trademarks of MIPS +-- Technologies. MIPS Technologies does not endorse and is not +-- associated with this project. +-- DESCRIPTION: +-- Top level VHDL document that ties the nine other entities together. +-- +-- Executes all MIPS I(tm) opcodes but exceptions and non-aligned +-- memory accesses. Based on information found in: +-- "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich +-- and "The Designer's Guide to VHDL" by Peter J. Ashenden +-- +-- The CPU is implemented as a two or three stage pipeline. +-- An add instruction would take the following steps (see cpu.gif): +-- Stage #0: +-- 1. The "pc_next" entity passes the program counter (PC) to the +-- "mem_ctrl" entity which fetches the opcode from memory. +-- Stage #1: +-- 2. The memory returns the opcode. +-- Stage #2: +-- 3. "Mem_ctrl" passes the opcode to the "control" entity. +-- 4. "Control" converts the 32-bit opcode to a 60-bit VLWI opcode +-- and sends control signals to the other entities. +-- 5. Based on the rs_index and rt_index control signals, "reg_bank" +-- sends the 32-bit reg_source and reg_target to "bus_mux". +-- 6. Based on the a_source and b_source control signals, "bus_mux" +-- multiplexes reg_source onto a_bus and reg_target onto b_bus. +-- Stage #3 (part of stage #2 if using two stage pipeline): +-- 7. Based on the alu_func control signals, "alu" adds the values +-- from a_bus and b_bus and places the result on c_bus. +-- 8. Based on the c_source control signals, "bus_bux" multiplexes +-- c_bus onto reg_dest. +-- 9. Based on the rd_index control signal, "reg_bank" saves +-- reg_dest into the correct register. +-- Stage #3b: +-- 10. Read or write memory if needed. +-- +-- All signals are active high. +-- Here are the signals for writing a character to address 0xffff +-- when using a two stage pipeline: +-- +-- Program: +-- addr value opcode +-- ============================= +-- 3c: 00000000 nop +-- 40: 34040041 li $a0,0x41 +-- 44: 3405ffff li $a1,0xffff +-- 48: a0a40000 sb $a0,0($a1) +-- 4c: 00000000 nop +-- 50: 00000000 nop +-- +-- intr_in mem_pause +-- reset_in byte_we Stages +-- ns address data_w data_r 40 44 48 4c 50 +-- 3600 0 0 00000040 00000000 34040041 0 0 1 +-- 3700 0 0 00000044 00000000 3405FFFF 0 0 2 1 +-- 3800 0 0 00000048 00000000 A0A40000 0 0 2 1 +-- 3900 0 0 0000004C 41414141 00000000 0 0 2 1 +-- 4000 0 0 0000FFFC 41414141 XXXXXX41 1 0 3 2 +-- 4100 0 0 00000050 00000000 00000000 0 0 1 +--------------------------------------------------------------------- +library ieee; +use work.mlite_pack.all; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; + +entity mlite_cpu is + generic(memory_type : string := "XILINX_16X"; --ALTERA_LPM, or DUAL_PORT_ + mult_type : string := "DEFAULT"; --AREA_OPTIMIZED + shifter_type : string := "DEFAULT"; --AREA_OPTIMIZED + alu_type : string := "DEFAULT"; --AREA_OPTIMIZED + pipeline_stages : natural := 3); --2 or 3 + port(clk : in std_logic; + reset_in : in std_logic; + intr_in : in std_logic; + + address_next : out std_logic_vector(31 downto 2); --for synch ram + byte_we_next : out std_logic_vector(3 downto 0); + + address : out std_logic_vector(31 downto 2); + byte_we : out std_logic_vector(3 downto 0); + data_w : out std_logic_vector(31 downto 0); + data_r : in std_logic_vector(31 downto 0); + mem_pause : in std_logic); +end; --entity mlite_cpu + +architecture logic of mlite_cpu is + --When using a two stage pipeline "sigD <= sig". + --When using a three stage pipeline "sigD <= sig when rising_edge(clk)", + -- so sigD is delayed by one clock cycle. + signal opcode : std_logic_vector(31 downto 0); + signal rs_index : std_logic_vector(5 downto 0); + signal rt_index : std_logic_vector(5 downto 0); + signal rd_index : std_logic_vector(5 downto 0); + signal rd_indexD : std_logic_vector(5 downto 0); + signal reg_source : std_logic_vector(31 downto 0); + signal reg_target : std_logic_vector(31 downto 0); + signal reg_dest : std_logic_vector(31 downto 0); + signal reg_destD : std_logic_vector(31 downto 0); + signal a_bus : std_logic_vector(31 downto 0); + signal a_busD : std_logic_vector(31 downto 0); + signal b_bus : std_logic_vector(31 downto 0); + signal b_busD : std_logic_vector(31 downto 0); + signal c_bus : std_logic_vector(31 downto 0); + signal c_alu : std_logic_vector(31 downto 0); + signal c_shift : std_logic_vector(31 downto 0); + signal c_mult : std_logic_vector(31 downto 0); + signal c_memory : std_logic_vector(31 downto 0); + signal imm : std_logic_vector(15 downto 0); + signal pc_future : std_logic_vector(31 downto 2); + signal pc_current : std_logic_vector(31 downto 2); + signal pc_plus4 : std_logic_vector(31 downto 2); + signal alu_func : alu_function_type; + signal alu_funcD : alu_function_type; + signal shift_func : shift_function_type; + signal shift_funcD : shift_function_type; + signal mult_func : mult_function_type; + signal mult_funcD : mult_function_type; + signal branch_func : branch_function_type; + signal take_branch : std_logic; + signal a_source : a_source_type; + signal b_source : b_source_type; + signal c_source : c_source_type; + signal pc_source : pc_source_type; + signal mem_source : mem_source_type; + signal pause_mult : std_logic; + signal pause_ctrl : std_logic; + signal pause_pipeline : std_logic; + signal pause_any : std_logic; + signal pause_non_ctrl : std_logic; + signal pause_bank : std_logic; + signal nullify_op : std_logic; + signal intr_enable : std_logic; + signal intr_signal : std_logic; + signal exception_sig : std_logic; + signal reset_reg : std_logic_vector(3 downto 0); + signal reset : std_logic; +begin --architecture + + pause_any <= (mem_pause or pause_ctrl) or (pause_mult or pause_pipeline); + pause_non_ctrl <= (mem_pause or pause_mult) or pause_pipeline; + pause_bank <= (mem_pause or pause_ctrl or pause_mult) and not pause_pipeline; + nullify_op <= '1' when (pc_source = FROM_LBRANCH and take_branch = '0') + or intr_signal = '1' or exception_sig = '1' + else '0'; + c_bus <= c_alu or c_shift or c_mult; + reset <= '1' when reset_in = '1' or reset_reg /= "1111" else '0'; + + --synchronize reset and interrupt pins + intr_proc: process(clk, reset_in, reset_reg, intr_in, intr_enable, + pc_source, pc_current, pause_any) + begin + if reset_in = '1' then + reset_reg <= "0000"; + intr_signal <= '0'; + elsif rising_edge(clk) then + if reset_reg /= "1111" then + reset_reg <= reset_reg + 1; + end if; + + --don't try to interrupt a multi-cycle instruction + if pause_any = '0' then + if intr_in = '1' and intr_enable = '1' and + pc_source = FROM_INC4 then + --the epc will contain pc+4 + intr_signal <= '1'; + else + intr_signal <= '0'; + end if; + end if; + + end if; + end process; + + u1_pc_next: pc_next PORT MAP ( + clk => clk, + reset_in => reset, + take_branch => take_branch, + pause_in => pause_any, + pc_new => c_bus(31 downto 2), + opcode25_0 => opcode(25 downto 0), + pc_source => pc_source, + pc_future => pc_future, + pc_current => pc_current, + pc_plus4 => pc_plus4); + + u2_mem_ctrl: mem_ctrl + PORT MAP ( + clk => clk, + reset_in => reset, + pause_in => pause_non_ctrl, + nullify_op => nullify_op, + address_pc => pc_future, + opcode_out => opcode, + + address_in => c_bus, + mem_source => mem_source, + data_write => reg_target, + data_read => c_memory, + pause_out => pause_ctrl, + + address_next => address_next, + byte_we_next => byte_we_next, + + address => address, + byte_we => byte_we, + data_w => data_w, + data_r => data_r); + + u3_control: control PORT MAP ( + opcode => opcode, + intr_signal => intr_signal, + rs_index => rs_index, + rt_index => rt_index, + rd_index => rd_index, + imm_out => imm, + alu_func => alu_func, + shift_func => shift_func, + mult_func => mult_func, + branch_func => branch_func, + a_source_out => a_source, + b_source_out => b_source, + c_source_out => c_source, + pc_source_out=> pc_source, + mem_source_out=> mem_source, + exception_out=> exception_sig); + + u4_reg_bank: reg_bank + generic map(memory_type => memory_type) + port map ( + clk => clk, + reset_in => reset, + pause => pause_bank, + rs_index => rs_index, + rt_index => rt_index, + rd_index => rd_indexD, + reg_source_out => reg_source, + reg_target_out => reg_target, + reg_dest_new => reg_destD, + intr_enable => intr_enable); + + u5_bus_mux: bus_mux port map ( + imm_in => imm, + reg_source => reg_source, + a_mux => a_source, + a_out => a_bus, + + reg_target => reg_target, + b_mux => b_source, + b_out => b_bus, + + c_bus => c_bus, + c_memory => c_memory, + c_pc => pc_current, + c_pc_plus4 => pc_plus4, + c_mux => c_source, + reg_dest_out => reg_dest, + + branch_func => branch_func, + take_branch => take_branch); + + u6_alu: alu + generic map (alu_type => alu_type) + port map ( + a_in => a_busD, + b_in => b_busD, + alu_function => alu_funcD, + c_alu => c_alu); + + u7_shifter: shifter + generic map (shifter_type => shifter_type) + port map ( + value => b_busD, + shift_amount => a_busD(4 downto 0), + shift_func => shift_funcD, + c_shift => c_shift); + + u8_mult: mult + generic map (mult_type => mult_type) + port map ( + clk => clk, + reset_in => reset, + a => a_busD, + b => b_busD, + mult_func => mult_funcD, + c_mult => c_mult, + pause_out => pause_mult); + + pipeline2: if pipeline_stages <= 2 generate + a_busD <= a_bus; + b_busD <= b_bus; + alu_funcD <= alu_func; + shift_funcD <= shift_func; + mult_funcD <= mult_func; + rd_indexD <= rd_index; + reg_destD <= reg_dest; + pause_pipeline <= '0'; + end generate; --pipeline2 + + pipeline3: if pipeline_stages > 2 generate + --When operating in three stage pipeline mode, the following signals + --are delayed by one clock cycle: a_bus, b_bus, alu/shift/mult_func, + --c_source, and rd_index. + u9_pipeline: pipeline port map ( + clk => clk, + reset => reset, + a_bus => a_bus, + a_busD => a_busD, + b_bus => b_bus, + b_busD => b_busD, + alu_func => alu_func, + alu_funcD => alu_funcD, + shift_func => shift_func, + shift_funcD => shift_funcD, + mult_func => mult_func, + mult_funcD => mult_funcD, + reg_dest => reg_dest, + reg_destD => reg_destD, + rd_index => rd_index, + rd_indexD => rd_indexD, + + rs_index => rs_index, + rt_index => rt_index, + pc_source => pc_source, + mem_source => mem_source, + a_source => a_source, + b_source => b_source, + c_source => c_source, + c_bus => c_bus, + pause_any => pause_any, + pause_pipeline => pause_pipeline); + + end generate; --pipeline3 + +end; --architecture logic diff --git a/legacy/vhdl/mlite_pack.vhd b/legacy/vhdl/mlite_pack.vhd new file mode 100755 index 0000000..299939a --- /dev/null +++ b/legacy/vhdl/mlite_pack.vhd @@ -0,0 +1,522 @@ +--------------------------------------------------------------------- +-- TITLE: Plasma Misc. Package +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 2/15/01 +-- FILENAME: mlite_pack.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Data types, constants, and add functions needed for the Plasma CPU. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; + +package mlite_pack is + constant ZERO : std_logic_vector(31 downto 0) := + "00000000000000000000000000000000"; + constant ONES : std_logic_vector(31 downto 0) := + "11111111111111111111111111111111"; + --make HIGH_Z equal to ZERO if compiler complains + constant HIGH_Z : std_logic_vector(31 downto 0) := + "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ"; + + subtype alu_function_type is std_logic_vector(3 downto 0); + constant ALU_NOTHING : alu_function_type := "0000"; + constant ALU_ADD : alu_function_type := "0001"; + constant ALU_SUBTRACT : alu_function_type := "0010"; + constant ALU_LESS_THAN : alu_function_type := "0011"; + constant ALU_LESS_THAN_SIGNED : alu_function_type := "0100"; + constant ALU_OR : alu_function_type := "0101"; + constant ALU_AND : alu_function_type := "0110"; + constant ALU_XOR : alu_function_type := "0111"; + constant ALU_NOR : alu_function_type := "1000"; + + subtype shift_function_type is std_logic_vector(1 downto 0); + constant SHIFT_NOTHING : shift_function_type := "00"; + constant SHIFT_LEFT_UNSIGNED : shift_function_type := "01"; + constant SHIFT_RIGHT_SIGNED : shift_function_type := "11"; + constant SHIFT_RIGHT_UNSIGNED : shift_function_type := "10"; + + subtype mult_function_type is std_logic_vector(3 downto 0); + constant MULT_NOTHING : mult_function_type := "0000"; + constant MULT_READ_LO : mult_function_type := "0001"; + constant MULT_READ_HI : mult_function_type := "0010"; + constant MULT_WRITE_LO : mult_function_type := "0011"; + constant MULT_WRITE_HI : mult_function_type := "0100"; + constant MULT_MULT : mult_function_type := "0101"; + constant MULT_SIGNED_MULT : mult_function_type := "0110"; + constant MULT_DIVIDE : mult_function_type := "0111"; + constant MULT_SIGNED_DIVIDE : mult_function_type := "1000"; + + subtype a_source_type is std_logic_vector(1 downto 0); + constant A_FROM_REG_SOURCE : a_source_type := "00"; + constant A_FROM_IMM10_6 : a_source_type := "01"; + constant A_FROM_PC : a_source_type := "10"; + + subtype b_source_type is std_logic_vector(1 downto 0); + constant B_FROM_REG_TARGET : b_source_type := "00"; + constant B_FROM_IMM : b_source_type := "01"; + constant B_FROM_SIGNED_IMM : b_source_type := "10"; + constant B_FROM_IMMX4 : b_source_type := "11"; + + subtype c_source_type is std_logic_vector(2 downto 0); + constant C_FROM_NULL : c_source_type := "000"; + constant C_FROM_ALU : c_source_type := "001"; + constant C_FROM_SHIFT : c_source_type := "001"; --same as alu + constant C_FROM_MULT : c_source_type := "001"; --same as alu + constant C_FROM_MEMORY : c_source_type := "010"; + constant C_FROM_PC : c_source_type := "011"; + constant C_FROM_PC_PLUS4 : c_source_type := "100"; + constant C_FROM_IMM_SHIFT16: c_source_type := "101"; + constant C_FROM_REG_SOURCEN: c_source_type := "110"; + + subtype pc_source_type is std_logic_vector(1 downto 0); + constant FROM_INC4 : pc_source_type := "00"; + constant FROM_OPCODE25_0 : pc_source_type := "01"; + constant FROM_BRANCH : pc_source_type := "10"; + constant FROM_LBRANCH : pc_source_type := "11"; + + subtype branch_function_type is std_logic_vector(2 downto 0); + constant BRANCH_LTZ : branch_function_type := "000"; + constant BRANCH_LEZ : branch_function_type := "001"; + constant BRANCH_EQ : branch_function_type := "010"; + constant BRANCH_NE : branch_function_type := "011"; + constant BRANCH_GEZ : branch_function_type := "100"; + constant BRANCH_GTZ : branch_function_type := "101"; + constant BRANCH_YES : branch_function_type := "110"; + constant BRANCH_NO : branch_function_type := "111"; + + -- mode(32=1,16=2,8=3), signed, write + subtype mem_source_type is std_logic_vector(3 downto 0); + constant MEM_FETCH : mem_source_type := "0000"; + constant MEM_READ32 : mem_source_type := "0100"; + constant MEM_WRITE32 : mem_source_type := "0101"; + constant MEM_READ16 : mem_source_type := "1000"; + constant MEM_READ16S : mem_source_type := "1010"; + constant MEM_WRITE16 : mem_source_type := "1001"; + constant MEM_READ8 : mem_source_type := "1100"; + constant MEM_READ8S : mem_source_type := "1110"; + constant MEM_WRITE8 : mem_source_type := "1101"; + + function bv_adder(a : in std_logic_vector; + b : in std_logic_vector; + do_add: in std_logic) return std_logic_vector; + function bv_negate(a : in std_logic_vector) return std_logic_vector; + function bv_increment(a : in std_logic_vector(31 downto 2) + ) return std_logic_vector; + function bv_inc(a : in std_logic_vector + ) return std_logic_vector; + + -- For Altera + COMPONENT lpm_ram_dp + generic ( + LPM_WIDTH : natural; -- MUST be greater than 0 + LPM_WIDTHAD : natural; -- MUST be greater than 0 + LPM_NUMWORDS : natural := 0; + LPM_INDATA : string := "REGISTERED"; + LPM_OUTDATA : string := "REGISTERED"; + LPM_RDADDRESS_CONTROL : string := "REGISTERED"; + LPM_WRADDRESS_CONTROL : string := "REGISTERED"; + LPM_FILE : string := "UNUSED"; + LPM_TYPE : string := "LPM_RAM_DP"; + USE_EAB : string := "OFF"; + INTENDED_DEVICE_FAMILY : string := "UNUSED"; + RDEN_USED : string := "TRUE"; + LPM_HINT : string := "UNUSED"); + port ( + RDCLOCK : in std_logic := '0'; + RDCLKEN : in std_logic := '1'; + RDADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0); + RDEN : in std_logic := '1'; + DATA : in std_logic_vector(LPM_WIDTH-1 downto 0); + WRADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0); + WREN : in std_logic; + WRCLOCK : in std_logic := '0'; + WRCLKEN : in std_logic := '1'; + Q : out std_logic_vector(LPM_WIDTH-1 downto 0)); + END COMPONENT; + + -- For Altera + component LPM_RAM_DQ + generic ( + LPM_WIDTH : natural; -- MUST be greater than 0 + LPM_WIDTHAD : natural; -- MUST be greater than 0 + LPM_NUMWORDS : natural := 0; + LPM_INDATA : string := "REGISTERED"; + LPM_ADDRESS_CONTROL: string := "REGISTERED"; + LPM_OUTDATA : string := "REGISTERED"; + LPM_FILE : string := "UNUSED"; + LPM_TYPE : string := "LPM_RAM_DQ"; + USE_EAB : string := "OFF"; + INTENDED_DEVICE_FAMILY : string := "UNUSED"; + LPM_HINT : string := "UNUSED"); + port ( + DATA : in std_logic_vector(LPM_WIDTH-1 downto 0); + ADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0); + INCLOCK : in std_logic := '0'; + OUTCLOCK : in std_logic := '0'; + WE : in std_logic; + Q : out std_logic_vector(LPM_WIDTH-1 downto 0)); + end component; + + -- For Xilinx + component RAM16X1D + -- synthesis translate_off + generic (INIT : bit_vector := X"16"); + -- synthesis translate_on + port (DPO : out STD_ULOGIC; + SPO : out STD_ULOGIC; + A0 : in STD_ULOGIC; + A1 : in STD_ULOGIC; + A2 : in STD_ULOGIC; + A3 : in STD_ULOGIC; + D : in STD_ULOGIC; + DPRA0 : in STD_ULOGIC; + DPRA1 : in STD_ULOGIC; + DPRA2 : in STD_ULOGIC; + DPRA3 : in STD_ULOGIC; + WCLK : in STD_ULOGIC; + WE : in STD_ULOGIC); + end component; + + component pc_next + port(clk : in std_logic; + reset_in : in std_logic; + pc_new : in std_logic_vector(31 downto 2); + take_branch : in std_logic; + pause_in : in std_logic; + opcode25_0 : in std_logic_vector(25 downto 0); + pc_source : in pc_source_type; + pc_future : out std_logic_vector(31 downto 2); + pc_current : out std_logic_vector(31 downto 2); + pc_plus4 : out std_logic_vector(31 downto 2)); + end component; + + component mem_ctrl + port(clk : in std_logic; + reset_in : in std_logic; + pause_in : in std_logic; + nullify_op : in std_logic; + address_pc : in std_logic_vector(31 downto 2); + opcode_out : out std_logic_vector(31 downto 0); + + address_in : in std_logic_vector(31 downto 0); + mem_source : in mem_source_type; + data_write : in std_logic_vector(31 downto 0); + data_read : out std_logic_vector(31 downto 0); + pause_out : out std_logic; + + address_next : out std_logic_vector(31 downto 2); + byte_we_next : out std_logic_vector(3 downto 0); + + address : out std_logic_vector(31 downto 2); + byte_we : out std_logic_vector(3 downto 0); + data_w : out std_logic_vector(31 downto 0); + data_r : in std_logic_vector(31 downto 0)); + end component; + + component control + port(opcode : in std_logic_vector(31 downto 0); + intr_signal : in std_logic; + rs_index : out std_logic_vector(5 downto 0); + rt_index : out std_logic_vector(5 downto 0); + rd_index : out std_logic_vector(5 downto 0); + imm_out : out std_logic_vector(15 downto 0); + alu_func : out alu_function_type; + shift_func : out shift_function_type; + mult_func : out mult_function_type; + branch_func : out branch_function_type; + a_source_out : out a_source_type; + b_source_out : out b_source_type; + c_source_out : out c_source_type; + pc_source_out: out pc_source_type; + mem_source_out:out mem_source_type; + exception_out: out std_logic); + end component; + + component reg_bank + generic(memory_type : string := "XILINX_16X"); + port(clk : in std_logic; + reset_in : in std_logic; + pause : in std_logic; + rs_index : in std_logic_vector(5 downto 0); + rt_index : in std_logic_vector(5 downto 0); + rd_index : in std_logic_vector(5 downto 0); + reg_source_out : out std_logic_vector(31 downto 0); + reg_target_out : out std_logic_vector(31 downto 0); + reg_dest_new : in std_logic_vector(31 downto 0); + intr_enable : out std_logic); + end component; + + component bus_mux + port(imm_in : in std_logic_vector(15 downto 0); + reg_source : in std_logic_vector(31 downto 0); + a_mux : in a_source_type; + a_out : out std_logic_vector(31 downto 0); + + reg_target : in std_logic_vector(31 downto 0); + b_mux : in b_source_type; + b_out : out std_logic_vector(31 downto 0); + + c_bus : in std_logic_vector(31 downto 0); + c_memory : in std_logic_vector(31 downto 0); + c_pc : in std_logic_vector(31 downto 2); + c_pc_plus4 : in std_logic_vector(31 downto 2); + c_mux : in c_source_type; + reg_dest_out : out std_logic_vector(31 downto 0); + + branch_func : in branch_function_type; + take_branch : out std_logic); + end component; + + component alu + generic(alu_type : string := "DEFAULT"); + port(a_in : in std_logic_vector(31 downto 0); + b_in : in std_logic_vector(31 downto 0); + alu_function : in alu_function_type; + c_alu : out std_logic_vector(31 downto 0)); + end component; + + component shifter + generic(shifter_type : string := "DEFAULT" ); + port(value : in std_logic_vector(31 downto 0); + shift_amount : in std_logic_vector(4 downto 0); + shift_func : in shift_function_type; + c_shift : out std_logic_vector(31 downto 0)); + end component; + + component mult + generic(mult_type : string := "DEFAULT"); + port(clk : in std_logic; + reset_in : in std_logic; + a, b : in std_logic_vector(31 downto 0); + mult_func : in mult_function_type; + c_mult : out std_logic_vector(31 downto 0); + pause_out : out std_logic); + end component; + + component pipeline + port(clk : in std_logic; + reset : in std_logic; + a_bus : in std_logic_vector(31 downto 0); + a_busD : out std_logic_vector(31 downto 0); + b_bus : in std_logic_vector(31 downto 0); + b_busD : out std_logic_vector(31 downto 0); + alu_func : in alu_function_type; + alu_funcD : out alu_function_type; + shift_func : in shift_function_type; + shift_funcD : out shift_function_type; + mult_func : in mult_function_type; + mult_funcD : out mult_function_type; + reg_dest : in std_logic_vector(31 downto 0); + reg_destD : out std_logic_vector(31 downto 0); + rd_index : in std_logic_vector(5 downto 0); + rd_indexD : out std_logic_vector(5 downto 0); + + rs_index : in std_logic_vector(5 downto 0); + rt_index : in std_logic_vector(5 downto 0); + pc_source : in pc_source_type; + mem_source : in mem_source_type; + a_source : in a_source_type; + b_source : in b_source_type; + c_source : in c_source_type; + c_bus : in std_logic_vector(31 downto 0); + pause_any : in std_logic; + pause_pipeline : out std_logic); + end component; + + component mlite_cpu + generic(memory_type : string := "XILINX_16X"; --ALTERA_LPM, or DUAL_PORT_ + mult_type : string := "DEFAULT"; + shifter_type : string := "DEFAULT"; + alu_type : string := "DEFAULT"; + pipeline_stages : natural := 2); --2 or 3 + port(clk : in std_logic; + reset_in : in std_logic; + intr_in : in std_logic; + + address_next : out std_logic_vector(31 downto 2); --for synch ram + byte_we_next : out std_logic_vector(3 downto 0); + + address : out std_logic_vector(31 downto 2); + byte_we : out std_logic_vector(3 downto 0); + data_w : out std_logic_vector(31 downto 0); + data_r : in std_logic_vector(31 downto 0); + mem_pause : in std_logic); + end component; + + component ram + generic(memory_type : string := "DEFAULT"); + port(clk : in std_logic; + enable : in std_logic; + write_byte_enable : in std_logic_vector(3 downto 0); + address : in std_logic_vector(31 downto 2); + data_write : in std_logic_vector(31 downto 0); + data_read : out std_logic_vector(31 downto 0)); + end component; --ram + + component uart + generic(log_file : string := "UNUSED"); + port(clk : in std_logic; + reset : in std_logic; + enable_read : in std_logic; + enable_write : in std_logic; + data_in : in std_logic_vector(7 downto 0); + data_out : out std_logic_vector(7 downto 0); + uart_read : in std_logic; + uart_write : out std_logic; + busy_write : out std_logic; + data_avail : out std_logic); + end component; --uart + + component eth_dma + port(clk : in std_logic; --25 MHz + reset : in std_logic; + enable_eth : in std_logic; + select_eth : in std_logic; + rec_isr : out std_logic; + send_isr : out std_logic; + + address : out std_logic_vector(31 downto 2); --to DDR + byte_we : out std_logic_vector(3 downto 0); + data_write : out std_logic_vector(31 downto 0); + data_read : in std_logic_vector(31 downto 0); + pause_in : in std_logic; + + mem_address : in std_logic_vector(31 downto 2); --from CPU + mem_byte_we : in std_logic_vector(3 downto 0); + data_w : in std_logic_vector(31 downto 0); + pause_out : out std_logic; + + E_RX_CLK : in std_logic; --2.5 MHz receive + E_RX_DV : in std_logic; --data valid + E_RXD : in std_logic_vector(3 downto 0); --receive nibble + E_TX_CLK : in std_logic; --2.5 MHz transmit + E_TX_EN : out std_logic; --transmit enable + E_TXD : out std_logic_vector(3 downto 0)); --transmit nibble + end component; --eth_dma + + component plasma + generic(memory_type : string := "XILINX_X16"; --"DUAL_PORT_" "ALTERA_LPM"; + log_file : string := "UNUSED"; + ethernet : std_logic := '0'); + port(clk : in std_logic; + reset : in std_logic; + uart_write : out std_logic; + uart_read : in std_logic; + + address : out std_logic_vector(31 downto 2); + byte_we : out std_logic_vector(3 downto 0); + data_write : out std_logic_vector(31 downto 0); + data_read : in std_logic_vector(31 downto 0); + mem_pause_in : in std_logic; + + gpio0_out : out std_logic_vector(31 downto 0); + gpioA_in : in std_logic_vector(31 downto 0)); + end component; --plasma + + component ddr_ctrl + port(clk : in std_logic; + clk_2x : in std_logic; + reset_in : in std_logic; + + address : in std_logic_vector(25 downto 2); + byte_we : in std_logic_vector(3 downto 0); + data_w : in std_logic_vector(31 downto 0); + data_r : out std_logic_vector(31 downto 0); + active : in std_logic; + pause : out std_logic; + + SD_CK_P : out std_logic; --clock_positive + SD_CK_N : out std_logic; --clock_negative + SD_CKE : out std_logic; --clock_enable + + SD_BA : out std_logic_vector(1 downto 0); --bank_address + SD_A : out std_logic_vector(12 downto 0); --address(row or col) + SD_CS : out std_logic; --chip_select + SD_RAS : out std_logic; --row_address_strobe + SD_CAS : out std_logic; --column_address_strobe + SD_WE : out std_logic; --write_enable + + SD_DQ : inout std_logic_vector(15 downto 0); --data + SD_UDM : out std_logic; --upper_byte_enable + SD_UDQS : inout std_logic; --upper_data_strobe + SD_LDM : out std_logic; --low_byte_enable + SD_LDQS : inout std_logic); --low_data_strobe + end component; --ddr + +end; --package mlite_pack + + +package body mlite_pack is + +function bv_adder(a : in std_logic_vector; + b : in std_logic_vector; + do_add: in std_logic) return std_logic_vector is + variable carry_in : std_logic; + variable bb : std_logic_vector(a'length-1 downto 0); + variable result : std_logic_vector(a'length downto 0); +begin + if do_add = '1' then + bb := b; + carry_in := '0'; + else + bb := not b; + carry_in := '1'; + end if; + for index in 0 to a'length-1 loop + result(index) := a(index) xor bb(index) xor carry_in; + carry_in := (carry_in and (a(index) or bb(index))) or + (a(index) and bb(index)); + end loop; + result(a'length) := carry_in xnor do_add; + return result; +end; --function + + +function bv_negate(a : in std_logic_vector) return std_logic_vector is + variable carry_in : std_logic; + variable not_a : std_logic_vector(a'length-1 downto 0); + variable result : std_logic_vector(a'length-1 downto 0); +begin + not_a := not a; + carry_in := '1'; + for index in a'reverse_range loop + result(index) := not_a(index) xor carry_in; + carry_in := carry_in and not_a(index); + end loop; + return result; +end; --function + + +function bv_increment(a : in std_logic_vector(31 downto 2) + ) return std_logic_vector is + variable carry_in : std_logic; + variable result : std_logic_vector(31 downto 2); +begin + carry_in := '1'; + for index in 2 to 31 loop + result(index) := a(index) xor carry_in; + carry_in := a(index) and carry_in; + end loop; + return result; +end; --function + + +function bv_inc(a : in std_logic_vector + ) return std_logic_vector is + variable carry_in : std_logic; + variable result : std_logic_vector(a'length-1 downto 0); +begin + carry_in := '1'; + for index in 0 to a'length-1 loop + result(index) := a(index) xor carry_in; + carry_in := a(index) and carry_in; + end loop; + return result; +end; --function + +end; --package body + + diff --git a/legacy/vhdl/mult.vhd b/legacy/vhdl/mult.vhd new file mode 100755 index 0000000..b0987af --- /dev/null +++ b/legacy/vhdl/mult.vhd @@ -0,0 +1,208 @@ +--------------------------------------------------------------------- +-- TITLE: Multiplication and Division Unit +-- AUTHORS: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 1/31/01 +-- FILENAME: mult.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Implements the multiplication and division unit in 32 clocks. +-- +-- To reduce space, compile your code using the flag "-mno-mul" which +-- will use software base routines in math.c if USE_SW_MULT is defined. +-- Then remove references to the entity mult in mlite_cpu.vhd. +-- +-- MULTIPLICATION +-- long64 answer = 0 +-- for(i = 0; i < 32; ++i) +-- { +-- answer = (answer >> 1) + (((b&1)?a:0) << 31); +-- b = b >> 1; +-- } +-- +-- DIVISION +-- long upper=a, lower=0; +-- a = b << 31; +-- for(i = 0; i < 32; ++i) +-- { +-- lower = lower << 1; +-- if(upper >= a && a && b < 2) +-- { +-- upper = upper - a; +-- lower |= 1; +-- } +-- a = ((b&2) << 30) | (a >> 1); +-- b = b >> 1; +-- } +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; +use work.mlite_pack.all; + +entity mult is + generic(mult_type : string := "DEFAULT"); + port(clk : in std_logic; + reset_in : in std_logic; + a, b : in std_logic_vector(31 downto 0); + mult_func : in mult_function_type; + c_mult : out std_logic_vector(31 downto 0); + pause_out : out std_logic); +end; --entity mult + +architecture logic of mult is + + constant MODE_MULT : std_logic := '1'; + constant MODE_DIV : std_logic := '0'; + + signal mode_reg : std_logic; + signal negate_reg : std_logic; + signal sign_reg : std_logic; + signal sign2_reg : std_logic; + signal count_reg : std_logic_vector(5 downto 0); + signal aa_reg : std_logic_vector(31 downto 0); + signal bb_reg : std_logic_vector(31 downto 0); + signal upper_reg : std_logic_vector(31 downto 0); + signal lower_reg : std_logic_vector(31 downto 0); + + signal a_neg : std_logic_vector(31 downto 0); + signal b_neg : std_logic_vector(31 downto 0); + signal sum : std_logic_vector(32 downto 0); + +begin + + -- Result + c_mult <= lower_reg when mult_func = MULT_READ_LO and negate_reg = '0' else + bv_negate(lower_reg) when mult_func = MULT_READ_LO + and negate_reg = '1' else + upper_reg when mult_func = MULT_READ_HI else + ZERO; + pause_out <= '1' when (count_reg /= "000000") and + (mult_func = MULT_READ_LO or mult_func = MULT_READ_HI) else '0'; + + -- ABS and remainder signals + a_neg <= bv_negate(a); + b_neg <= bv_negate(b); + sum <= bv_adder(upper_reg, aa_reg, mode_reg); + + --multiplication/division unit + mult_proc: process(clk, reset_in, a, b, mult_func, + a_neg, b_neg, sum, sign_reg, mode_reg, negate_reg, + count_reg, aa_reg, bb_reg, upper_reg, lower_reg) + variable count : std_logic_vector(2 downto 0); + begin + count := "001"; + if reset_in = '1' then + mode_reg <= '0'; + negate_reg <= '0'; + sign_reg <= '0'; + sign2_reg <= '0'; + count_reg <= "000000"; + aa_reg <= ZERO; + bb_reg <= ZERO; + upper_reg <= ZERO; + lower_reg <= ZERO; + elsif rising_edge(clk) then + case mult_func is + when MULT_WRITE_LO => + lower_reg <= a; + negate_reg <= '0'; + when MULT_WRITE_HI => + upper_reg <= a; + negate_reg <= '0'; + when MULT_MULT => + mode_reg <= MODE_MULT; + aa_reg <= a; + bb_reg <= b; + upper_reg <= ZERO; + count_reg <= "100000"; + negate_reg <= '0'; + sign_reg <= '0'; + sign2_reg <= '0'; + when MULT_SIGNED_MULT => + mode_reg <= MODE_MULT; + if b(31) = '0' then + aa_reg <= a; + bb_reg <= b; + sign_reg <= a(31); + else + aa_reg <= a_neg; + bb_reg <= b_neg; + sign_reg <= a_neg(31); + end if; + sign2_reg <= '0'; + upper_reg <= ZERO; + count_reg <= "100000"; + negate_reg <= '0'; + when MULT_DIVIDE => + mode_reg <= MODE_DIV; + aa_reg <= b(0) & ZERO(30 downto 0); + bb_reg <= b; + upper_reg <= a; + count_reg <= "100000"; + negate_reg <= '0'; + when MULT_SIGNED_DIVIDE => + mode_reg <= MODE_DIV; + if b(31) = '0' then + aa_reg(31) <= b(0); + bb_reg <= b; + else + aa_reg(31) <= b_neg(0); + bb_reg <= b_neg; + end if; + if a(31) = '0' then + upper_reg <= a; + else + upper_reg <= a_neg; + end if; + aa_reg(30 downto 0) <= ZERO(30 downto 0); + count_reg <= "100000"; + negate_reg <= a(31) xor b(31); + when others => + + if count_reg /= "000000" then + if mode_reg = MODE_MULT then + -- Multiplication + if bb_reg(0) = '1' then + upper_reg <= (sign_reg xor sum(32)) & sum(31 downto 1); + lower_reg <= sum(0) & lower_reg(31 downto 1); + sign2_reg <= sign2_reg or sign_reg; + sign_reg <= '0'; + bb_reg <= '0' & bb_reg(31 downto 1); + -- The following six lines are optional for speedup + elsif bb_reg(3 downto 0) = "0000" and sign2_reg = '0' and + count_reg(5 downto 2) /= "0000" then + upper_reg <= "0000" & upper_reg(31 downto 4); + lower_reg <= upper_reg(3 downto 0) & lower_reg(31 downto 4); + count := "100"; + bb_reg <= "0000" & bb_reg(31 downto 4); + else + upper_reg <= sign2_reg & upper_reg(31 downto 1); + lower_reg <= upper_reg(0) & lower_reg(31 downto 1); + bb_reg <= '0' & bb_reg(31 downto 1); + end if; + else + -- Division + if sum(32) = '0' and aa_reg /= ZERO and + bb_reg(31 downto 1) = ZERO(31 downto 1) then + upper_reg <= sum(31 downto 0); + lower_reg(0) <= '1'; + else + lower_reg(0) <= '0'; + end if; + aa_reg <= bb_reg(1) & aa_reg(31 downto 1); + lower_reg(31 downto 1) <= lower_reg(30 downto 0); + bb_reg <= '0' & bb_reg(31 downto 1); + end if; + count_reg <= count_reg - count; + end if; --count + + end case; + + end if; + + end process; + +end; --architecture logic diff --git a/legacy/vhdl/pc_next.vhd b/legacy/vhdl/pc_next.vhd new file mode 100755 index 0000000..3c0d9b0 --- /dev/null +++ b/legacy/vhdl/pc_next.vhd @@ -0,0 +1,71 @@ +--------------------------------------------------------------------- +-- TITLE: Program Counter Next +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 2/8/01 +-- FILENAME: pc_next.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Implements the Program Counter logic. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use work.mlite_pack.all; + +entity pc_next is + port(clk : in std_logic; + reset_in : in std_logic; + pc_new : in std_logic_vector(31 downto 2); + take_branch : in std_logic; + pause_in : in std_logic; + opcode25_0 : in std_logic_vector(25 downto 0); + pc_source : in pc_source_type; + pc_future : out std_logic_vector(31 downto 2); + pc_current : out std_logic_vector(31 downto 2); + pc_plus4 : out std_logic_vector(31 downto 2)); +end; --pc_next + +architecture logic of pc_next is + signal pc_reg : std_logic_vector(31 downto 2); +begin + +pc_select: process(clk, reset_in, pc_new, take_branch, pause_in, + opcode25_0, pc_source, pc_reg) + variable pc_inc : std_logic_vector(31 downto 2); + variable pc_next : std_logic_vector(31 downto 2); +begin + pc_inc := bv_increment(pc_reg); --pc_reg+1 + + case pc_source is + when FROM_INC4 => + pc_next := pc_inc; + when FROM_OPCODE25_0 => + pc_next := pc_reg(31 downto 28) & opcode25_0; + when FROM_BRANCH | FROM_LBRANCH => + if take_branch = '1' then + pc_next := pc_new; + else + pc_next := pc_inc; + end if; + when others => + pc_next := pc_inc; + end case; + + if pause_in = '1' then + pc_next := pc_reg; + end if; + + if reset_in = '1' then + pc_reg <= ZERO(31 downto 2); + pc_next := pc_reg; + elsif rising_edge(clk) then + pc_reg <= pc_next; + end if; + + pc_future <= pc_next; + pc_current <= pc_reg; + pc_plus4 <= pc_inc; +end process; + +end; --logic diff --git a/legacy/vhdl/pipeline.vhd b/legacy/vhdl/pipeline.vhd new file mode 100755 index 0000000..09dfcee --- /dev/null +++ b/legacy/vhdl/pipeline.vhd @@ -0,0 +1,139 @@ +--------------------------------------------------------------------- +-- TITLE: Pipeline +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 6/24/02 +-- FILENAME: pipeline.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Controls the three stage pipeline by delaying the signals: +-- a_bus, b_bus, alu/shift/mult_func, c_source, and rs_index. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use work.mlite_pack.all; + +--Note: sigD <= sig after rising_edge(clk) +entity pipeline is + port(clk : in std_logic; + reset : in std_logic; + a_bus : in std_logic_vector(31 downto 0); + a_busD : out std_logic_vector(31 downto 0); + b_bus : in std_logic_vector(31 downto 0); + b_busD : out std_logic_vector(31 downto 0); + alu_func : in alu_function_type; + alu_funcD : out alu_function_type; + shift_func : in shift_function_type; + shift_funcD : out shift_function_type; + mult_func : in mult_function_type; + mult_funcD : out mult_function_type; + reg_dest : in std_logic_vector(31 downto 0); + reg_destD : out std_logic_vector(31 downto 0); + rd_index : in std_logic_vector(5 downto 0); + rd_indexD : out std_logic_vector(5 downto 0); + + rs_index : in std_logic_vector(5 downto 0); + rt_index : in std_logic_vector(5 downto 0); + pc_source : in pc_source_type; + mem_source : in mem_source_type; + a_source : in a_source_type; + b_source : in b_source_type; + c_source : in c_source_type; + c_bus : in std_logic_vector(31 downto 0); + pause_any : in std_logic; + pause_pipeline : out std_logic); +end; --entity pipeline + +architecture logic of pipeline is + signal rd_index_reg : std_logic_vector(5 downto 0); + signal reg_dest_reg : std_logic_vector(31 downto 0); + signal reg_dest_delay : std_logic_vector(31 downto 0); + signal c_source_reg : c_source_type; + signal pause_enable_reg : std_logic; +begin + +--When operating in three stage pipeline mode, the following signals +--are delayed by one clock cycle: a_bus, b_bus, alu/shift/mult_func, +--c_source, and rd_index. +pipeline3: process(clk, reset, a_bus, b_bus, alu_func, shift_func, mult_func, + rd_index, rd_index_reg, pause_any, pause_enable_reg, + rs_index, rt_index, + pc_source, mem_source, a_source, b_source, c_source, c_source_reg, + reg_dest, reg_dest_reg, reg_dest_delay, c_bus) + variable pause_mult_clock : std_logic; + variable freeze_pipeline : std_logic; +begin + if (pc_source /= FROM_INC4 and pc_source /= FROM_OPCODE25_0) or + mem_source /= MEM_FETCH or + (mult_func = MULT_READ_LO or mult_func = MULT_READ_HI) then + pause_mult_clock := '1'; + else + pause_mult_clock := '0'; + end if; + + freeze_pipeline := not (pause_mult_clock and pause_enable_reg) and pause_any; + pause_pipeline <= pause_mult_clock and pause_enable_reg; + rd_indexD <= rd_index_reg; + + -- The value written back into the register bank, signal reg_dest is tricky. + -- If reg_dest comes from the ALU via the signal c_bus, it is already delayed + -- into stage #3, because a_busD and b_busD are delayed. If reg_dest comes from + -- c_memory, pc_current, or pc_plus4 then reg_dest hasn't yet been delayed into + -- stage #3. + -- Instead of delaying c_memory, pc_current, and pc_plus4, these signals + -- are multiplexed into reg_dest which is then delayed. The decision to use + -- the already delayed c_bus or the delayed value of reg_dest (reg_dest_reg) is + -- based on a delayed value of c_source (c_source_reg). + + if c_source_reg = C_FROM_ALU then + reg_dest_delay <= c_bus; --delayed by 1 clock cycle via a_busD & b_busD + else + reg_dest_delay <= reg_dest_reg; --need to delay 1 clock cycle from reg_dest + end if; + reg_destD <= reg_dest_delay; + + if reset = '1' then + a_busD <= ZERO; + b_busD <= ZERO; + alu_funcD <= ALU_NOTHING; + shift_funcD <= SHIFT_NOTHING; + mult_funcD <= MULT_NOTHING; + reg_dest_reg <= ZERO; + c_source_reg <= "000"; + rd_index_reg <= "000000"; + pause_enable_reg <= '0'; + elsif rising_edge(clk) then + if freeze_pipeline = '0' then + if (rs_index = "000000" or rs_index /= rd_index_reg) or + (a_source /= A_FROM_REG_SOURCE or pause_enable_reg = '0') then + a_busD <= a_bus; + else + a_busD <= reg_dest_delay; --rs from previous operation (bypass stage) + end if; + + if (rt_index = "000000" or rt_index /= rd_index_reg) or + (b_source /= B_FROM_REG_TARGET or pause_enable_reg = '0') then + b_busD <= b_bus; + else + b_busD <= reg_dest_delay; --rt from previous operation + end if; + + alu_funcD <= alu_func; + shift_funcD <= shift_func; + mult_funcD <= mult_func; + reg_dest_reg <= reg_dest; + c_source_reg <= c_source; + rd_index_reg <= rd_index; + end if; + + if pause_enable_reg = '0' and pause_any = '0' then + pause_enable_reg <= '1'; --enable pause_pipeline + elsif pause_mult_clock = '1' then + pause_enable_reg <= '0'; --disable pause_pipeline + end if; + end if; + +end process; --pipeline3 + +end; --logic diff --git a/legacy/vhdl/plasma.vhd b/legacy/vhdl/plasma.vhd new file mode 100755 index 0000000..d2e337d --- /dev/null +++ b/legacy/vhdl/plasma.vhd @@ -0,0 +1,301 @@ +--------------------------------------------------------------------- +-- TITLE: Plasma (CPU core with memory) +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 6/4/02 +-- FILENAME: plasma.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- This entity combines the CPU core with memory and a UART. +-- +-- Memory Map: +-- 0x00000000 - 0x0000ffff Internal RAM (8KB) +-- 0x10000000 - 0x100fffff External RAM (1MB) +-- Access all Misc registers with 32-bit accesses +-- 0x20000000 Uart Write (will pause CPU if busy) +-- 0x20000000 Uart Read +-- 0x20000010 IRQ Mask +-- 0x20000020 IRQ Status +-- 0x20000030 GPIO0 Out Set bits +-- 0x20000040 GPIO0 Out Clear bits +-- 0x20000050 GPIOA In +-- 0x20000060 Counter +-- 0x20000070 Ethernet transmit count +-- IRQ bits: +-- 7 GPIO31 +-- 6 ^GPIO31 +-- 5 EthernetSendDone +-- 4 EthernetReceive +-- 3 Counter(18) +-- 2 ^Counter(18) +-- 1 ^UartWriteBusy +-- 0 UartDataAvailable +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use work.mlite_pack.all; + +entity plasma is + generic(memory_type : string := "XILINX_16X"; --"DUAL_PORT_" "ALTERA_LPM"; + log_file : string := "UNUSED"); + port(clk : in std_logic; + clk66 : in std_logic; + reset : in std_logic; + + uart_write : out std_logic; + uart_read : in std_logic; + + address : out std_logic_vector(31 downto 2); + byte_we : out std_logic_vector(3 downto 0); + data_write : out std_logic_vector(31 downto 0); + data_read : in std_logic_vector(31 downto 0); + mem_pause_in : in std_logic; + + gpio0_out : out std_logic_vector(31 downto 0); + gpioA_in : in std_logic_vector(31 downto 0); + pwm_out : out std_logic_vector(23 downto 0); + spi_miso : out std_logic; + spi_mosi : in std_logic; + spi_clk : in std_logic; + spi_cs : in std_logic); +end; --entity plasma + +architecture logic of plasma is + + component pwm2 is + Port ( clk : in STD_LOGIC; + clk66 : in std_logic; + reset : in STD_LOGIC; + address : in STD_LOGIC_VECTOR (31 downto 2); + enable : in std_logic; + byte_we : in std_logic_vector(3 downto 0); + data_read : out std_logic_vector(31 downto 0); + data_write : in STD_LOGIC_VECTOR (31 downto 0); + pwm_out : out STD_LOGIC_VECTOR (23 downto 0)); + end component; + + component spi is + Port ( clk : in STD_LOGIC; + clk66 : in std_logic; + reset : in STD_LOGIC; + + address : in STD_LOGIC_VECTOR (31 downto 2); + enable : in std_logic; + byte_we : in std_logic_vector(3 downto 0); + data_read : out std_logic_vector(31 downto 0); + data_write : in STD_LOGIC_VECTOR (31 downto 0); + + spi_miso : out STD_LOGIC; + spi_mosi : in STD_LOGIC; + spi_clk : in STD_LOGIC; + spi_cs : in STD_LOGIC); + end component; + + signal address_next : std_logic_vector(31 downto 2); + signal byte_we_next : std_logic_vector(3 downto 0); + signal mem_address : std_logic_vector(31 downto 2); + signal mem_byte_we : std_logic_vector(3 downto 0); + signal data_r : std_logic_vector(31 downto 0); + signal data_w : std_logic_vector(31 downto 0); + signal data_read_ram : std_logic_vector(31 downto 0); + signal data_read_ram2 : std_logic_vector(31 downto 0); + signal data_read_pwm : std_logic_vector(31 downto 0); + signal data_read_spi : std_logic_vector(31 downto 0); + signal data_read_uart : std_logic_vector(7 downto 0); + + signal write_enable : std_logic; + signal mem_pause : std_logic; + signal eth_pause : std_logic; + + signal enable_internal_ram : std_logic; + signal enable_internal_ram2 : std_logic; + signal enable_misc : std_logic; + signal enable_uart : std_logic; + signal enable_uart_read : std_logic; + signal enable_uart_write : std_logic; + + signal enable_spi : std_logic; + signal enable_pwm : std_logic; + + signal gpio0_reg : std_logic_vector(31 downto 0); + + signal uart_write_busy : std_logic; + signal uart_data_avail : std_logic; + + signal irq_mask_reg : std_logic_vector(7 downto 0); + signal irq_status : std_logic_vector(7 downto 0); + signal irq : std_logic; + signal irq_eth_rec : std_logic; + signal irq_eth_send : std_logic; + signal counter_reg : std_logic_vector(31 downto 0); + +begin --architecture + write_enable <= '1' when mem_byte_we /= "0000" else '0'; + mem_pause <= ((mem_pause_in or eth_pause) and not enable_misc) or + (uart_write_busy and enable_uart and write_enable); + irq_status <= gpioA_in(31) & not gpioA_in(31) & + '0' & '0' & + counter_reg(18) & not counter_reg(18) & + not uart_write_busy & uart_data_avail; + irq <= '1' when (irq_status and irq_mask_reg) /= ZERO(7 downto 0) else '0'; + gpio0_out(31 downto 29) <= gpio0_reg(31 downto 29); + gpio0_out(23 downto 0) <= gpio0_reg(23 downto 0); + + enable_internal_ram <= '1' when address_next(30 downto 28) = "000" else '0'; + enable_internal_ram2 <= '1' when address_next(30 downto 28) = "001" else '0'; + + enable_misc <= '1' when mem_address(30 downto 28) = "010" else '0'; + + enable_uart <= '1' when enable_misc = '1' and mem_address(7 downto 4) = "0000" else '0'; + enable_uart_read <= enable_uart and not write_enable; + enable_uart_write <= enable_uart and write_enable; + + enable_pwm <= '1' when address_next(30 downto 28) = "100" else '0'; + enable_spi <= '1' when address_next(30 downto 28) = "101" else '0'; + + u1_cpu: mlite_cpu + generic map (memory_type => memory_type) + PORT MAP ( + clk => clk, + reset_in => reset, + intr_in => irq, + + address_next => address_next, + byte_we_next => byte_we_next, + + address => mem_address, + byte_we => mem_byte_we, + data_w => data_w, + data_r => data_r, + mem_pause => mem_pause); + + misc_proc: process(clk, reset, address_next, mem_address, enable_misc, + data_read_ram, data_read, data_read_uart, mem_pause, + irq_mask_reg, irq_status, gpio0_reg, write_enable, + gpioA_in, counter_reg, data_w) + begin + case mem_address(30 downto 28) is + when "000" => --internal RAM + data_r <= data_read_ram; + when "001" => --external RAM + data_r <= data_read_ram2; + when "010" => --misc + case mem_address(7 downto 4) is + when "0000" => --uart + data_r <= ZERO(31 downto 8) & data_read_uart; + when "0001" => --irq_mask + data_r <= ZERO(31 downto 8) & irq_mask_reg; + when "0010" => --irq_status + data_r <= ZERO(31 downto 8) & irq_status; + when "0011" => --gpio0 + data_r <= gpio0_reg; + when "0101" => --gpioA + data_r <= gpioA_in; + when "0110" => --counter + data_r <= counter_reg; + when others => + data_r <= gpioA_in; + end case; + when "011" => --flash + data_r <= data_read; + when "100" => + data_r <= data_read_pwm; + when "101" => + data_r <= data_read_spi; + when others => + data_r <= ZERO; + end case; + + if reset = '1' then + irq_mask_reg <= ZERO(7 downto 0); + gpio0_reg <= ZERO; + counter_reg <= ZERO; + elsif rising_edge(clk) then + if mem_pause = '0' then + if enable_misc = '1' and write_enable = '1' then + if mem_address(6 downto 4) = "001" then + irq_mask_reg <= data_w(7 downto 0); + elsif mem_address(6 downto 4) = "011" then + gpio0_reg <= gpio0_reg or data_w; + elsif mem_address(6 downto 4) = "100" then + gpio0_reg <= gpio0_reg and not data_w; + end if; + end if; + end if; + counter_reg <= bv_inc(counter_reg); + end if; + end process; + + u2_ram: ram + generic map (memory_type => memory_type) + port map ( + clk => clk, + enable => enable_internal_ram, + write_byte_enable => byte_we_next, + address => address_next, + data_write => data_w, + data_read => data_read_ram); + + u3_uart: uart + generic map (log_file => log_file) + port map( + clk => clk, + reset => reset, + enable_read => enable_uart_read, + enable_write => enable_uart_write, + data_in => data_w(7 downto 0), + data_out => data_read_uart, + uart_read => uart_read, + uart_write => uart_write, + busy_write => uart_write_busy, + data_avail => uart_data_avail); + + address <= mem_address; + byte_we <= mem_byte_we; + data_write <= data_w; + eth_pause <= '0'; + gpio0_out(28 downto 24) <= ZERO(28 downto 24); + irq_eth_rec <= '0'; + irq_eth_send <= '0'; + + u4_ram2: ram + generic map (memory_type => memory_type) + port map ( + clk => clk, + enable => enable_internal_ram2, + write_byte_enable => byte_we_next, + address => address_next, + data_write => data_w, + data_read => data_read_ram2); + + + u5_pwm: pwm2 port map ( + clk => clk, + clk66 => clk66, + reset => reset, + enable => enable_pwm, + address => address_next, + byte_we => byte_we_next, + data_write => data_w, + data_read => data_read_pwm, + pwm_out => pwm_out + ); + + u6_spi: spi port map ( + clk => clk, + clk66 => clk66, + reset => reset, + enable => enable_spi, + address => address_next, + byte_we => byte_we_next, + data_write => data_w, + data_read => data_read_spi, + spi_miso => spi_miso, + spi_mosi => spi_mosi, + spi_clk => spi_clk, + spi_cs => spi_cs + ); + + +end; --architecture logic diff --git a/legacy/vhdl/plasma_if.ucf b/legacy/vhdl/plasma_if.ucf new file mode 100755 index 0000000..d15ed0a --- /dev/null +++ b/legacy/vhdl/plasma_if.ucf @@ -0,0 +1,62 @@ +CONFIG PART = XC3S250E-TQ144-4; + +NET "clk_in" TNM_NET = "clk_in"; +TIMESPEC "TS_clk_in" = PERIOD "clk_in" 15 ns HIGH 50 %; + +NET "clk_reg1" TNM_NET = "clk_reg1"; +TIMESPEC "TS_clk_reg1" = PERIOD "clk_reg1" 30 ns HIGH 50 %; + +NET "clk_in" LOC = "P122" | IOSTANDARD = LVCMOS33; + +NET "gpio0_out<0>" LOC = "P44" | IOSTANDARD = LVCMOS33; +NET "gpio0_out<1>" LOC = "P43" | IOSTANDARD = LVCMOS33; +NET "gpio0_out<2>" LOC = "P113" | IOSTANDARD = LVCMOS33; + + +NET "gpioA_in<0>" LOC = "P84" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "gpioA_in<1>" LOC = "P69" | IOSTANDARD = LVCMOS33 | PULLUP; + +NET "reset" LOC = "P78" | IOSTANDARD = LVCMOS33 | PULLUP; + +NET "uart_console_read" LOC = "P29" | IOSTANDARD = LVCMOS33; +NET "uart_console_write" LOC = "P32" | IOSTANDARD = LVCMOS33; + +NET "pwm_out<0>" LOC = "P116" | IOSTANDARD = LVCMOS33; +NET "pwm_out<1>" LOC = "P112" | IOSTANDARD = LVCMOS33; +NET "pwm_out<2>" LOC = "P106" | IOSTANDARD = LVCMOS33; + +NET "pwm_out<3>" LOC = "P132" | IOSTANDARD = LVCMOS33; +NET "pwm_out<4>" LOC = "P134" | IOSTANDARD = LVCMOS33; +NET "pwm_out<5>" LOC = "P139" | IOSTANDARD = LVCMOS33; + + +NET "pwm_out<6>" LOC = "P142" | IOSTANDARD = LVCMOS33; +NET "pwm_out<7>" LOC = "P140" | IOSTANDARD = LVCMOS33; +NET "pwm_out<8>" LOC = "P135" | IOSTANDARD = LVCMOS33; + +NET "pwm_out<9>" LOC = "P8" | IOSTANDARD = LVCMOS33; +NET "pwm_out<10>" LOC = "P4" | IOSTANDARD = LVCMOS33; +NET "pwm_out<11>" LOC = "P2" | IOSTANDARD = LVCMOS33; + +NET "pwm_out<12>" LOC = "P3" | IOSTANDARD = LVCMOS33; +NET "pwm_out<13>" LOC = "P5" | IOSTANDARD = LVCMOS33; +NET "pwm_out<14>" LOC = "P7" | IOSTANDARD = LVCMOS33; + +NET "pwm_out<15>" LOC = "P35" | IOSTANDARD = LVCMOS33; +NET "pwm_out<16>" LOC = "P33" | IOSTANDARD = LVCMOS33; +NET "pwm_out<17>" LOC = "P31" | IOSTANDARD = LVCMOS33; + +NET "pwm_out<18>" LOC = "P26" | IOSTANDARD = LVCMOS33; +NET "pwm_out<19>" LOC = "P23" | IOSTANDARD = LVCMOS33; +NET "pwm_out<20>" LOC = "P21" | IOSTANDARD = LVCMOS33; + +NET "pwm_out<21>" LOC = "P130" | IOSTANDARD = LVCMOS33; +NET "pwm_out<22>" LOC = "P125" | IOSTANDARD = LVCMOS33; +NET "pwm_out<23>" LOC = "P124" | IOSTANDARD = LVCMOS33; + +NET "spi_miso" LOC="P39" | IOSTANDARD = LVCMOS33; +NET "spi_mosi" LOC="P41" | IOSTANDARD = LVCMOS33; +NET "spi_clk" LOC="P15" | IOSTANDARD = LVCMOS33; +NET "spi_cs" LOC="P36" | IOSTANDARD = LVCMOS33; + +NET "spi_clk" CLOCK_DEDICATED_ROUTE = FALSE; diff --git a/legacy/vhdl/plasma_if.vhd b/legacy/vhdl/plasma_if.vhd new file mode 100755 index 0000000..5d2da5e --- /dev/null +++ b/legacy/vhdl/plasma_if.vhd @@ -0,0 +1,129 @@ +--------------------------------------------------------------------- +-- TITLE: Plamsa Interface (clock divider and interface to FPGA board) +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 6/6/02 +-- FILENAME: plasma_if.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- This entity divides the clock by two and interfaces to the +-- Altera EP20K200EFC484-2X FPGA board. +-- Xilinx Spartan-3 XC3S200FT256-4 FPGA. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +--use work.mlite_pack.all; + +entity plasma_if is + port(clk_in : in std_logic; + reset : in std_logic; + + uart_console_read : in std_logic; + uart_console_write : out std_logic; + + spi_miso : out std_logic; + spi_mosi :in std_logic; + spi_clk : in std_logic; + spi_cs : in std_logic; + + gpio0_out : out std_logic_vector(2 downto 0); + gpioA_in : in std_logic_vector(1 downto 0); + pwm_out : out std_logic_vector(23 downto 0)); +end; --entity plasma_if + + +architecture logic of plasma_if is + + component plasma + generic(memory_type : string := "XILINX_16X"; --"DUAL_PORT_" "ALTERA_LPM"; + log_file : string := "UNUSED"); + port(clk : in std_logic; + clk66 : in std_logic; + reset : in std_logic; + + uart_write : out std_logic; + uart_read : in std_logic; + + address : out std_logic_vector(31 downto 2); + byte_we : out std_logic_vector(3 downto 0); + data_write : out std_logic_vector(31 downto 0); + data_read : in std_logic_vector(31 downto 0); + mem_pause_in : in std_logic; + + gpio0_out : out std_logic_vector(31 downto 0); + gpioA_in : in std_logic_vector(31 downto 0); + pwm_out : out std_logic_vector(23 downto 0); + + spi_miso : out std_logic; + spi_mosi : in std_logic; + spi_clk : in std_logic; + spi_cs : in std_logic); + end component; --plasma + + + + signal clk_reg : std_logic; + signal we_n_next : std_logic; + signal we_n_reg : std_logic; + signal mem_address : std_logic_vector(31 downto 2); + signal data_write : std_logic_vector(31 downto 0); + signal data_reg : std_logic_vector(31 downto 0); + signal byte_we : std_logic_vector(3 downto 0); + signal mem_pause_in : std_logic; + signal gpio0_out_reg : std_logic_vector(31 downto 0); + signal gpioA_in_reg : std_logic_vector(31 downto 0); + + signal uart_plasma_console_write : std_logic; + signal uart_plasma_console_read : std_logic; + + + signal consel : std_logic; + +begin --architecture + --Divide 50 MHz clock by two + clk_div: process(reset, clk_in, clk_reg, we_n_next) + begin + if reset = '0' then + clk_reg <= '0'; + elsif rising_edge(clk_in) then + clk_reg <= not clk_reg; + end if; + end process; --clk_div + + mem_pause_in <= '0'; + gpio0_out(2 downto 0)<=gpio0_out_reg(2 downto 0); + gpioA_in_reg(1 downto 0)<=gpioA_in(1 downto 0); + gpioA_in_reg(31 downto 2)<=(others=>'0'); + + u1_plasma: plasma + generic map (memory_type => "XILINX_16X", + log_file => "UNUSED") + PORT MAP ( + clk => clk_reg, + clk66 => clk_in, + reset => not reset, + uart_write => uart_plasma_console_write, + uart_read => uart_plasma_console_read, + + address => mem_address, + byte_we => byte_we, + data_write => data_write, + data_read => data_reg, + mem_pause_in => mem_pause_in, + + gpio0_out => gpio0_out_reg, + gpioA_in => gpioA_in_reg, + pwm_out => pwm_out, + spi_mosi => spi_mosi, + spi_miso => spi_miso, + spi_clk => spi_clk, + spi_cs => spi_cs + ); + + uart_console_write <=uart_plasma_console_write; + uart_plasma_console_read <=uart_console_read; + + +end; --architecture logic + diff --git a/legacy/vhdl/pwm2.vhd b/legacy/vhdl/pwm2.vhd new file mode 100755 index 0000000..e253165 --- /dev/null +++ b/legacy/vhdl/pwm2.vhd @@ -0,0 +1,129 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 16:04:28 12/29/2008 +-- Design Name: +-- Module Name: pwm2 - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity pwm2 is + Port ( clk : in STD_LOGIC; + clk66 : in std_logic; + reset : in STD_LOGIC; + address : in STD_LOGIC_VECTOR (31 downto 2); + enable : in std_logic; + byte_we : in std_logic_vector(3 downto 0); + data_read : out std_logic_vector(31 downto 0); + data_write : in STD_LOGIC_VECTOR (31 downto 0); + pwm_out : out STD_LOGIC_VECTOR (23 downto 0)); +end pwm2; + +architecture Behavioral of pwm2 is + signal counter_66 : std_logic_vector(19 downto 0) := (others=>'0'); -- runs from 0 to 2^20-1=1048575 @ 66 MHz -> 62 Hz/15.9 ms + + signal pwm_ram_addr : std_logic_vector(10 downto 0); + signal pwm_ram_out : std_logic_vector(15 downto 0); + + + signal pwm_counter : std_logic_vector(14 downto 0) := (others=>'0'); + signal pwm_index : std_logic_vector(4 downto 0) := (others=>'0'); + +begin + + + counter_66_proc: process(clk66,reset) is + begin + if(reset='1') then + counter_66<=(others=>'0'); + pwm_out<=(others=>'0'); + else + if rising_edge(clk66) then + pwm_counter<=counter_66(17 downto 3); + pwm_index<=pwm_ram_addr(4 downto 0); + counter_66<=counter_66+1; + + if(pwm_ram_out="000000000000000") then + pwm_out(conv_integer(pwm_index))<='0'; + else + if(pwm_counter<4096) then + pwm_out(conv_integer(pwm_index))<='1'; + elsif(pwm_counter>20480) then + pwm_out(conv_integer(pwm_index))<='0'; + elsif(pwm_counter clk, + ENA => enable, + WEA => byte_we(0), + ADDRA => address(12 downto 2), + DIA => data_write(7 downto 0), + DOA => data_read(7 downto 0), + DIPA => (others=>'0'), + DOPA => open, + SSRA => '0', + + CLKB => clk66, + ADDRB => pwm_ram_addr, + DIB => "00000000", + DOB => pwm_ram_out(7 downto 0), + DIPB => (others=>'0'), + DOPB => open, + ENB => '1', + SSRB => '0', + WEB => '0'); + + register_block_hi: RAMB16_S9_S9 + port map ( + CLKA => clk, + ENA => enable, + WEA => byte_we(1), + ADDRA => address(12 downto 2), + DIA => data_write(15 downto 8), + DOA => data_read(15 downto 8), + DIPA => (others=>'0'), + DOPA => open, + SSRA => '0', + + CLKB => clk66, + ENB => '1', + WEB => '0', + ADDRB => pwm_ram_addr, + DIB => "00000000", + DOB => pwm_ram_out(15 downto 8), + DOPB => open, + SSRB => '0'); + +end Behavioral; + diff --git a/legacy/vhdl/ram_xilinx.vhd b/legacy/vhdl/ram_xilinx.vhd new file mode 100755 index 0000000..aa15ba3 --- /dev/null +++ b/legacy/vhdl/ram_xilinx.vhd @@ -0,0 +1,350 @@ +--------------------------------------------------------------------- +-- TITLE: Random Access Memory for Xilinx +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 11/06/05 +-- FILENAME: ram_xilinx.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Implements the RAM for Spartan 3 Xilinx FPGA +-- +-- Compile the MIPS C and assembly code into "test.axf". +-- Run convert.exe to change "test.axf" to "code.txt" which +-- will contain the hex values of the opcodes. +-- Next run "ram_image ram_xilinx.vhd code.txt ram_image.vhd", +-- to create the "ram_image.vhd" file that will have the opcodes +-- correctly placed inside the INIT_00 => strings. +-- Then include ram_image.vhd in the simulation/synthesis. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_misc.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; +use work.mlite_pack.all; +library UNISIM; +use UNISIM.vcomponents.all; + +entity ram is + generic(memory_type : string := "DEFAULT"); + port(clk : in std_logic; + enable : in std_logic; + write_byte_enable : in std_logic_vector(3 downto 0); + address : in std_logic_vector(31 downto 2); + data_write : in std_logic_vector(31 downto 0); + data_read : out std_logic_vector(31 downto 0)); +end; --entity ram + +architecture logic of ram is +begin + + RAMB16_S9_inst0 : RAMB16_S9 + generic map ( +INIT_00 => X"afafafafafafafafafafafafafafafaf2308000c241400ac273c243c243c273c", +INIT_01 => X"8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f230c008c8c3caf00af00af2340afaf", +INIT_02 => X"acacacac0003373cac038cac8cac8cac8c243c40034040033423038f038f8f8f", +INIT_03 => X"000300ac0300000034038c8c8c8c8c8c8c8c8c8c8c8c3403acacacacacacacac", +INIT_04 => X"1c24001030008c24ac24ac9424003c00180003241c24a4248c0018ac2400003c", +INIT_05 => X"002400002424102830242400a0243c0003001030008cacac242400003c000300", +INIT_06 => X"24100010000c00102a0200260c24af08af2424240000afafafafaf270103a014", +INIT_07 => X"0c240c001a001427038f8f8f8f8f8f8f02240c240c000824102c24142c24142e", +INIT_08 => X"0c3c240c3c240c3c240c3c240c3caf0cafafafafafafafafaf270008260c2424", +INIT_09 => X"0c3c3c3c3c3c3c003c3c0c003c240c3c3c1430248c3c1030008c34ac3c3c2424", +INIT_0A => X"240c3c240c3c270c260c260c260c260c240c3c240c3c240c3c240c3c240c3c24", +INIT_0B => X"0c3c3c08240c3c000c000c8e0000008c0024003c3c102c260000142c2400000c", +INIT_0C => X"0c3c3c080002a208000c000c00000c240c3c0008923c08ae000c000c00000c24", +INIT_0D => X"3c080216a002260c00000010000c240c3c3c080216260c900200000010000c24", +INIT_0E => X"000010000c240c3c3c08240c000c000c0014002490020000000010000c240c3c", +INIT_0F => X"08240c3c021402240c000c260c8c021032021002240c000c260c8c02240c3c00", +INIT_10 => X"0014343c000c240c3c3c0800003c0016260c262610000c3c120008a23c243c3c", +INIT_11 => X"000c000c2608240c3c000c020c240c3c00000c240c3c020c3c083c0c003c000c", +INIT_12 => X"1400100082260c00240800100080afafaf270003ac001030008c343c3c08240c", +INIT_13 => X"0c2424142c3002242400afafafaf272703008f8f8f00140082000c2682000c24", +INIT_14 => X"3c24243c3c2703008f8c3c10000caf2730038c343c240827038f8f8f8f021626", +INIT_15 => X"20740a00616d20423a003931303144656c62747267650a24038c0014ac00248c", +INIT_16 => X"79617965330a7769796532006f61796531006e706e724f303030206e65696120", +INIT_17 => X"6f4600753900736838006979656137617965613673647475350a626979653400", +INIT_18 => X"0037336820660a0d786e6e0a786e750a3d6541206820720a3e00616f446f4231", +INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") + port map ( + DO => data_read(31 downto 24), + DOP => open, + ADDR => address(12 downto 2), + CLK => clk, + DI => data_write(31 downto 24), + DIP => ZERO(0 downto 0), + EN => enable, + SSR => ZERO(0), + WE => write_byte_enable(3)); + + RAMB16_S9_inst1 : RAMB16_S9 + generic map ( +INIT_00 => X"b8afaeadacabaaa9a8a7a6a5a4a3a2a1bd000000a560a4a0bd1d8404a5059c1c", +INIT_01 => X"b9b8afaeadacabaaa9a8a7a6a5a4a3a2a1a50086c6c406bb00bb00ba5a1abfb9", +INIT_02 => X"9392919000405a1a06e0a606a606a606a6a50584e0029b401bbd60bb60bbbabf", +INIT_03 => X"00e000c4e0000085a2e09f9d9c9e979695949392919002e09f9d9c9e97969594", +INIT_04 => X"c0c60040420062636284658205620205c000e084c0a582c6a200c0a202a20502", +INIT_05 => X"04a5c3a8060640628307054040420200e000404200828283020382040200e000", +INIT_06 => X"5054405300000040220312310090b000bf1514130000b1b2b3b4b5bd00e043a7", +INIT_07 => X"00040000208095bde0b0b1b2b3b4b5bf40040004000000904042824042824002", +INIT_08 => X"0004840004840004840004840004b000b1b2b3b4b5b6b7bebfbd120031000404", +INIT_09 => X"00021e1716151440020600000484000415434203821460630043428302040384", +INIT_0A => X"440002440002c400e400c400a400840044000244000244000244000244000244", +INIT_0B => X"0002020044000240000000440080004443830304024062030000404242400000", +INIT_0C => X"0002020000405000400040004000004400020000440200504000400040000044", +INIT_0D => X"0200001362511000000040400000440002020000111000444200004040000044", +INIT_0E => X"00404000004400020200040040000000a0a683a5434200000040400000440002", +INIT_0F => X"0044000200601304004000300044504002006013040040003000445044000200", +INIT_10 => X"0043630300004400020200004002405352001010400000021100005013111202", +INIT_11 => X"4000000003004400024000400044000240000044000200000200060000040000", +INIT_12 => X"5100400002100040110080400082b1bfb0bd00e0a40040420062a30502000400", +INIT_13 => X"00646440624312111080bfb0b1b2bdbde000b0b1bf0040000240001002000004", +INIT_14 => X"0563440302bde000bf6203400000bfbd42e06263030400bde0b0b1b2bf121110", +INIT_15 => X"666957007320666f0a003a36303465726f6f686f73744742e0a2008340458482", +INIT_16 => X"7464206d2e006f74206d2e007264206d2e007374752074303078616b206d7262", +INIT_17 => X"702e006d2e0075652e0074206d772e64206d772e73646f6d2e007974206d2e00", +INIT_18 => X"003834207769430a3e2074433e206556207364006569654120007320526d2032", +INIT_19 => X"0000040000802400800000000000000000000000000000000000000000000000", +INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") + port map ( + DO => data_read(23 downto 16), + DOP => open, + ADDR => address(12 downto 2), + CLK => clk, + DI => data_write(23 downto 16), + DIP => ZERO(0 downto 0), + EN => enable, + SSR => ZERO(0), + WE => write_byte_enable(2)); + + RAMB16_S9_inst2 : RAMB16_S9 + generic map ( +INIT_00 => X"00000000000000000000000000000000ff00000100ff18000e000f000c008c00", +INIT_01 => X"000000000000000000000000000000000000022000002000d800d800ff700000", +INIT_02 => X"0000000000000010000000000000000000010060006060000000000000000000", +INIT_03 => X"0000000000201000000000000000000000000000000000000000000000000000", +INIT_04 => X"ffff00ff00000000000000000018301800000000ff0000ff0000000000282830", +INIT_05 => X"21ff18100000000000ff0040000d00000000ff00000000000000202030000000", +INIT_06 => X"ff002000000200000090190002ff00000000000088900000000000ff100000ff", +INIT_07 => X"020002000080ff00000000000000000010000200020000ff0000ffff00ffff00", +INIT_08 => X"02000a02000c02000a02000a02000002000000000000000000ff9100ff020000", +INIT_09 => X"02000000000000f810000028100a02000000ff3c00000000000000002030000a", +INIT_0A => X"0c02000b02000b020b020b020b020b020b02000b02000b02000b02000b02000a", +INIT_0B => X"020000010b0200200200000000000000100c100000ff00ff90000000ff800002", +INIT_0C => X"0200000100f80001200280002000000c0200000100000100200280002000000c", +INIT_0D => X"000188ff00180002888098ff00000c0200000110ff00020010108088ff00000c", +INIT_0E => X"80980000000c0200000100022002000010ff20000010102028300000000c0200", +INIT_0F => X"010c020088ff180002200200000010ff0088001800022002000000100c020088", +INIT_10 => X"00ff561200000c0200000100f81080ff0002ff00ff0002100080020010271000", +INIT_11 => X"20022000ff010b0200200220000c02009000000c020020020001000028102000", +INIT_12 => X"ff00000000000220000280000000000000ff00000010ff000000002000010002", +INIT_13 => X"02000000000010ff009000000000ff00001000000000ff000020020000000200", +INIT_14 => X"100c0c0000000000000020ff000200ff0000000020000200000000000010ffff", +INIT_15 => X"6f6e61006866726f0000303a38206320616f656d20697200000000ff00100000", +INIT_16 => X"6520726f20007265776f20006420726f20003a69204d680a303174656c617969", +INIT_17 => X"7920007020006d63200065776f20200a726f20200a72207020007465776f2000", +INIT_18 => X"003e353169726f002068206f2068206100736400786e73640000686620206638", +INIT_19 => X"0000200000002028000008040404040404040404080404070706060606060505", +INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") + port map ( + DO => data_read(15 downto 8), + DOP => open, + ADDR => address(12 downto 2), + CLK => clk, + DI => data_write(15 downto 8), + DIP => ZERO(0 downto 0), + EN => enable, + SSR => ZERO(0), + WE => write_byte_enable(1)); + + RAMB16_S9_inst3 : RAMB16_S9 + generic map ( +INIT_00 => X"4c4844403c3834302c2824201c181410980e000604fd2a00f8001000f800f001", +INIT_01 => X"504c4844403c3834302c2824201c18141000892410200060125c1058fc005450", +INIT_02 => X"0c08040000083c0048080c440840043c006000000800000801681360115c5854", +INIT_03 => X"00080c000810121900082c2824201c1814100c08040000082c2824201c181410", +INIT_04 => X"f4fe00fc80000004000200004021004011000802fb0400fe00000700ff214000", +INIT_05 => X"02ff21213037020a0fff0721080000000800fc8000000000d020214000000800", +INIT_06 => X"d00c210e009000121021000144c910da28080d0a212114181c2024d0210800f6", +INIT_07 => X"440844000821d930081014181c202428210a440d4400d3a9111a9fed1abff10a", +INIT_08 => X"4e00d04e00304e00c44e00a44e00109b14181c2024282c3034c802d7ff440820", +INIT_09 => X"4e000000000000090002802100e04e00000cff1c00001001000050000000ffdc", +INIT_0A => X"1c4e00f84e00ec4edc4ec44eb04e9c4e844e006c4e00544e003c4e00244e00f4", +INIT_0B => X"4e00003f684e00214e00b100000800002180800000d416cf2100c20ad0210090", +INIT_0C => X"4e00003f0009003e214e21b12100c4404e00007500003f00214e21b12100c440", +INIT_0D => X"003f21fb002101902121218900c4504e00003f21fb014400212121219a00c450", +INIT_0E => X"21211e00c4504e00003f0a44214e00b121fb21010021212121217600c4504e00", +INIT_0F => X"3f604e0021f42b2044214e04b10021f00f210e2b2044214e04b10021604e0021", +INIT_10 => X"001f783400c4644e00003f00090021f30190ff01fb008b000b21090010100000", +INIT_11 => X"214e21b1cf69684e00214e21b1344e002100c4204e002144003f028f210021a3", +INIT_12 => X"f8000d00000144210a5f21160000141810e000080021fc0200002000003f0a44", +INIT_13 => X"445730020a0f06fc1c211c101418e020082110141800f500002144010000440d", +INIT_14 => X"00f8d80000180800100000fd008b10e80108002000494420081014181c06f8fc", +INIT_15 => X"726769000a6c6f74000034330032200064742020666e6584080000fb00210400", +INIT_16 => X"0a6265724d00642072724d000a7765724d000a6f4f656500303020646967206e", +INIT_17 => X"2043000a44000a6b43000a72726d520065726d52006561204a00652072724d00", +INIT_18 => X"00203632746d6e00006569750065696c002072003e20736400000a6c7444724b", +INIT_19 => X"000000101020000020705cf8f8f8f8f8f8f8f8f804f8f86000bc7868382cf8d0", +INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", +INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") + port map ( + DO => data_read(7 downto 0), + DOP => open, + ADDR => address(12 downto 2), + CLK => clk, + DI => data_write(7 downto 0), + DIP => ZERO(0 downto 0), + EN => enable, + SSR => ZERO(0), + WE => write_byte_enable(0)); + +end; --architecture logic diff --git a/legacy/vhdl/reg_bank.vhd b/legacy/vhdl/reg_bank.vhd new file mode 100755 index 0000000..855a273 --- /dev/null +++ b/legacy/vhdl/reg_bank.vhd @@ -0,0 +1,321 @@ +--------------------------------------------------------------------- +-- TITLE: Register Bank +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 2/2/01 +-- FILENAME: reg_bank.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Implements a register bank with 32 registers that are 32-bits wide. +-- There are two read-ports and one write port. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use work.mlite_pack.all; + +entity reg_bank is + generic(memory_type : string := "XILINX_16X"); + port(clk : in std_logic; + reset_in : in std_logic; + pause : in std_logic; + rs_index : in std_logic_vector(5 downto 0); + rt_index : in std_logic_vector(5 downto 0); + rd_index : in std_logic_vector(5 downto 0); + reg_source_out : out std_logic_vector(31 downto 0); + reg_target_out : out std_logic_vector(31 downto 0); + reg_dest_new : in std_logic_vector(31 downto 0); + intr_enable : out std_logic); +end; --entity reg_bank + + +-------------------------------------------------------------------- +-- The ram_block architecture attempts to use TWO dual-port memories. +-- Different FPGAs and ASICs need different implementations. +-- Choose one of the RAM implementations below. +-- I need feedback on this section! +-------------------------------------------------------------------- +architecture ram_block of reg_bank is + signal intr_enable_reg : std_logic; + type ram_type is array(31 downto 0) of std_logic_vector(31 downto 0); + + --controls access to dual-port memories + signal addr_read1, addr_read2 : std_logic_vector(4 downto 0); + signal addr_write : std_logic_vector(4 downto 0); + signal data_out1, data_out2 : std_logic_vector(31 downto 0); + signal write_enable : std_logic; + +begin + +reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new, + intr_enable_reg, data_out1, data_out2, reset_in, pause) +begin + --setup for first dual-port memory + if rs_index = "101110" then --reg_epc CP0 14 + addr_read1 <= "00000"; + else + addr_read1 <= rs_index(4 downto 0); + end if; + case rs_index is + when "000000" => reg_source_out <= ZERO; + when "101100" => reg_source_out <= ZERO(31 downto 1) & intr_enable_reg; + --interrupt vector address = 0x3c + when "111111" => reg_source_out <= ZERO(31 downto 8) & "00111100"; + when others => reg_source_out <= data_out1; + end case; + + --setup for second dual-port memory + addr_read2 <= rt_index(4 downto 0); + case rt_index is + when "000000" => reg_target_out <= ZERO; + when others => reg_target_out <= data_out2; + end case; + + --setup write port for both dual-port memories + if rd_index /= "000000" and rd_index /= "101100" and pause = '0' then + write_enable <= '1'; + else + write_enable <= '0'; + end if; + if rd_index = "101110" then --reg_epc CP0 14 + addr_write <= "00000"; + else + addr_write <= rd_index(4 downto 0); + end if; + + if reset_in = '1' then + intr_enable_reg <= '0'; + elsif rising_edge(clk) then + if rd_index = "101110" then --reg_epc CP0 14 + intr_enable_reg <= '0'; --disable interrupts + elsif rd_index = "101100" then + intr_enable_reg <= reg_dest_new(0); + end if; + end if; + + intr_enable <= intr_enable_reg; +end process; + + +-------------------------------------------------------------- +---- Pick only ONE of the dual-port RAM implementations below! +-------------------------------------------------------------- + + -- Option #1 + -- One tri-port RAM, two read-ports, one write-port + -- 32 registers 32-bits wide + tri_port_mem: + if memory_type = "TRI_PORT_X" generate + ram_proc: process(clk, addr_read1, addr_read2, + addr_write, reg_dest_new, write_enable) + variable tri_port_ram : ram_type; + begin + data_out1 <= tri_port_ram(conv_integer(addr_read1)); + data_out2 <= tri_port_ram(conv_integer(addr_read2)); + if rising_edge(clk) then + if write_enable = '1' then + tri_port_ram(conv_integer(addr_write)) := reg_dest_new; + end if; + end if; + end process; + end generate; --tri_port_mem + + + -- Option #2 + -- Two dual-port RAMs, each with one read-port and one write-port + dual_port_mem: + if memory_type = "DUAL_PORT_" generate + ram_proc2: process(clk, addr_read1, addr_read2, + addr_write, reg_dest_new, write_enable) + variable dual_port_ram1 : ram_type; + variable dual_port_ram2 : ram_type; + begin + data_out1 <= dual_port_ram1(conv_integer(addr_read1)); + data_out2 <= dual_port_ram2(conv_integer(addr_read2)); + if rising_edge(clk) then + if write_enable = '1' then + dual_port_ram1(conv_integer(addr_write)) := reg_dest_new; + dual_port_ram2(conv_integer(addr_write)) := reg_dest_new; + end if; + end if; + end process; + end generate; --dual_port_mem + + + -- Option #3 + -- RAM16X1D: 16 x 1 positive edge write, asynchronous read dual-port + -- distributed RAM for all Xilinx FPGAs + -- From library UNISIM; use UNISIM.vcomponents.all; + xilinx_16x1d: + if memory_type = "XILINX_16X" generate + signal data_out1A, data_out1B : std_logic_vector(31 downto 0); + signal data_out2A, data_out2B : std_logic_vector(31 downto 0); + signal weA, weB : std_logic; + begin + weA <= write_enable and not addr_write(4); --lower 16 registers + weB <= write_enable and addr_write(4); --upper 16 registers + + reg_loop: for i in 0 to 31 generate + begin + --Read port 1 lower 16 registers + reg_bit1a : RAM16X1D + port map ( + WCLK => clk, -- Port A write clock input + WE => weA, -- Port A write enable input + A0 => addr_write(0), -- Port A address[0] input bit + A1 => addr_write(1), -- Port A address[1] input bit + A2 => addr_write(2), -- Port A address[2] input bit + A3 => addr_write(3), -- Port A address[3] input bit + D => reg_dest_new(i), -- Port A 1-bit data input + DPRA0 => addr_read1(0), -- Port B address[0] input bit + DPRA1 => addr_read1(1), -- Port B address[1] input bit + DPRA2 => addr_read1(2), -- Port B address[2] input bit + DPRA3 => addr_read1(3), -- Port B address[3] input bit + DPO => data_out1A(i), -- Port B 1-bit data output + SPO => open -- Port A 1-bit data output + ); + --Read port 1 upper 16 registers + reg_bit1b : RAM16X1D + port map ( + WCLK => clk, -- Port A write clock input + WE => weB, -- Port A write enable input + A0 => addr_write(0), -- Port A address[0] input bit + A1 => addr_write(1), -- Port A address[1] input bit + A2 => addr_write(2), -- Port A address[2] input bit + A3 => addr_write(3), -- Port A address[3] input bit + D => reg_dest_new(i), -- Port A 1-bit data input + DPRA0 => addr_read1(0), -- Port B address[0] input bit + DPRA1 => addr_read1(1), -- Port B address[1] input bit + DPRA2 => addr_read1(2), -- Port B address[2] input bit + DPRA3 => addr_read1(3), -- Port B address[3] input bit + DPO => data_out1B(i), -- Port B 1-bit data output + SPO => open -- Port A 1-bit data output + ); + --Read port 2 lower 16 registers + reg_bit2a : RAM16X1D + port map ( + WCLK => clk, -- Port A write clock input + WE => weA, -- Port A write enable input + A0 => addr_write(0), -- Port A address[0] input bit + A1 => addr_write(1), -- Port A address[1] input bit + A2 => addr_write(2), -- Port A address[2] input bit + A3 => addr_write(3), -- Port A address[3] input bit + D => reg_dest_new(i), -- Port A 1-bit data input + DPRA0 => addr_read2(0), -- Port B address[0] input bit + DPRA1 => addr_read2(1), -- Port B address[1] input bit + DPRA2 => addr_read2(2), -- Port B address[2] input bit + DPRA3 => addr_read2(3), -- Port B address[3] input bit + DPO => data_out2A(i), -- Port B 1-bit data output + SPO => open -- Port A 1-bit data output + ); + --Read port 2 upper 16 registers + reg_bit2b : RAM16X1D + port map ( + WCLK => clk, -- Port A write clock input + WE => weB, -- Port A write enable input + A0 => addr_write(0), -- Port A address[0] input bit + A1 => addr_write(1), -- Port A address[1] input bit + A2 => addr_write(2), -- Port A address[2] input bit + A3 => addr_write(3), -- Port A address[3] input bit + D => reg_dest_new(i), -- Port A 1-bit data input + DPRA0 => addr_read2(0), -- Port B address[0] input bit + DPRA1 => addr_read2(1), -- Port B address[1] input bit + DPRA2 => addr_read2(2), -- Port B address[2] input bit + DPRA3 => addr_read2(3), -- Port B address[3] input bit + DPO => data_out2B(i), -- Port B 1-bit data output + SPO => open -- Port A 1-bit data output + ); + end generate; --reg_loop + + data_out1 <= data_out1A when addr_read1(4)='0' else data_out1B; + data_out2 <= data_out2A when addr_read2(4)='0' else data_out2B; + end generate; --xilinx_16x1d + + + -- Option #4 + -- Altera LPM_RAM_DP + -- Xilinx users may need to comment out this section!!! +-- altera_mem: +-- if memory_type = "ALTERA_LPM" generate +-- signal clk_delayed : std_logic; +-- signal addr_reg : std_logic_vector(4 downto 0); +-- signal data_reg : std_logic_vector(31 downto 0); +-- signal q1 : std_logic_vector(31 downto 0); +-- signal q2 : std_logic_vector(31 downto 0); +-- begin +-- -- Altera dual port RAMs must have the addresses registered (sampled +-- -- at the rising edge). This is very unfortunate. +-- -- Therefore, the dual port RAM read clock must delayed so that +-- -- the read address signal can be sent from the mem_ctrl block. +-- -- This solution also delays the how fast the registers are read so the +-- -- maximum clock speed is cut in half (12.5 MHz instead of 25 MHz). +-- +-- clk_delayed <= not clk; --Could be delayed by 1/4 clock cycle instead +-- dpram_bypass: process(clk, addr_write, reg_dest_new) +-- begin +-- if rising_edge(clk) and write_enable = '1' then +-- addr_reg <= addr_write; +-- data_reg <= reg_dest_new; +-- end if; +-- end process; --dpram_bypass +-- +-- -- Bypass dpram if reading what was just written (Altera limitation) +-- data_out1 <= q1 when addr_read1 /= addr_reg else data_reg; +-- data_out2 <= q2 when addr_read2 /= addr_reg else data_reg; +-- +-- lpm_ram_dp_component1 : lpm_ram_dp +-- generic map ( +-- LPM_WIDTH => 32, +-- LPM_WIDTHAD => 5, +-- --LPM_NUMWORDS => 0, +-- LPM_INDATA => "REGISTERED", +-- LPM_OUTDATA => "UNREGISTERED", +-- LPM_RDADDRESS_CONTROL => "REGISTERED", +-- LPM_WRADDRESS_CONTROL => "REGISTERED", +-- LPM_FILE => "UNUSED", +-- LPM_TYPE => "LPM_RAM_DP", +-- USE_EAB => "ON", +-- INTENDED_DEVICE_FAMILY => "UNUSED", +-- RDEN_USED => "FALSE", +-- LPM_HINT => "UNUSED") +-- port map ( +-- RDCLOCK => clk_delayed, +-- RDCLKEN => '1', +-- RDADDRESS => addr_read1, +-- RDEN => '1', +-- DATA => reg_dest_new, +-- WRADDRESS => addr_write, +-- WREN => write_enable, +-- WRCLOCK => clk, +-- WRCLKEN => '1', +-- Q => q1); +-- lpm_ram_dp_component2 : lpm_ram_dp +-- generic map ( +-- LPM_WIDTH => 32, +-- LPM_WIDTHAD => 5, +-- --LPM_NUMWORDS => 0, +-- LPM_INDATA => "REGISTERED", +-- LPM_OUTDATA => "UNREGISTERED", +-- LPM_RDADDRESS_CONTROL => "REGISTERED", +-- LPM_WRADDRESS_CONTROL => "REGISTERED", +-- LPM_FILE => "UNUSED", +-- LPM_TYPE => "LPM_RAM_DP", +-- USE_EAB => "ON", +-- INTENDED_DEVICE_FAMILY => "UNUSED", +-- RDEN_USED => "FALSE", +-- LPM_HINT => "UNUSED") +-- port map ( +-- RDCLOCK => clk_delayed, +-- RDCLKEN => '1', +-- RDADDRESS => addr_read2, +-- RDEN => '1', +-- DATA => reg_dest_new, +-- WRADDRESS => addr_write, +-- WREN => write_enable, +-- WRCLOCK => clk, +-- WRCLKEN => '1', +-- Q => q2); +-- end generate; --altera_mem + +end; --architecture ram_block diff --git a/legacy/vhdl/shifter.vhd b/legacy/vhdl/shifter.vhd new file mode 100755 index 0000000..f4a574b --- /dev/null +++ b/legacy/vhdl/shifter.vhd @@ -0,0 +1,65 @@ +--------------------------------------------------------------------- +-- TITLE: Shifter Unit +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- Matthias Gruenewald +-- DATE CREATED: 2/2/01 +-- FILENAME: shifter.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Implements the 32-bit shifter unit. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use work.mlite_pack.all; + +entity shifter is + generic(shifter_type : string := "DEFAULT"); + port(value : in std_logic_vector(31 downto 0); + shift_amount : in std_logic_vector(4 downto 0); + shift_func : in shift_function_type; + c_shift : out std_logic_vector(31 downto 0)); +end; --entity shifter + +architecture logic of shifter is +-- type shift_function_type is ( +-- shift_nothing, shift_left_unsigned, +-- shift_right_signed, shift_right_unsigned); + +signal shift1L, shift2L, shift4L, shift8L, shift16L : std_logic_vector(31 downto 0); +signal shift1R, shift2R, shift4R, shift8R, shift16R : std_logic_vector(31 downto 0); +signal fills : std_logic_vector(31 downto 16); + +begin + fills <= "1111111111111111" when shift_func = SHIFT_RIGHT_SIGNED + and value(31) = '1' + else "0000000000000000"; + shift1L <= value(30 downto 0) & '0' when shift_amount(0) = '1' else value; + shift2L <= shift1L(29 downto 0) & "00" when shift_amount(1) = '1' else shift1L; + shift4L <= shift2L(27 downto 0) & "0000" when shift_amount(2) = '1' else shift2L; + shift8L <= shift4L(23 downto 0) & "00000000" when shift_amount(3) = '1' else shift4L; + shift16L <= shift8L(15 downto 0) & ZERO(15 downto 0) when shift_amount(4) = '1' else shift8L; + + shift1R <= fills(31) & value(31 downto 1) when shift_amount(0) = '1' else value; + shift2R <= fills(31 downto 30) & shift1R(31 downto 2) when shift_amount(1) = '1' else shift1R; + shift4R <= fills(31 downto 28) & shift2R(31 downto 4) when shift_amount(2) = '1' else shift2R; + shift8R <= fills(31 downto 24) & shift4R(31 downto 8) when shift_amount(3) = '1' else shift4R; + shift16R <= fills(31 downto 16) & shift8R(31 downto 16) when shift_amount(4) = '1' else shift8R; + +GENERIC_SHIFTER: if shifter_type = "DEFAULT" generate + c_shift <= shift16L when shift_func = SHIFT_LEFT_UNSIGNED else + shift16R when shift_func = SHIFT_RIGHT_UNSIGNED or + shift_func = SHIFT_RIGHT_SIGNED else + ZERO; +end generate; + +AREA_OPTIMIZED_SHIFTER: if shifter_type /= "DEFAULT" generate + c_shift <= shift16L when shift_func = SHIFT_LEFT_UNSIGNED else (others => 'Z'); + c_shift <= shift16R when shift_func = SHIFT_RIGHT_UNSIGNED or + shift_func = SHIFT_RIGHT_SIGNED else (others => 'Z'); + c_shift <= ZERO when shift_func = SHIFT_NOTHING else (others => 'Z'); +end generate; + +end; --architecture logic + diff --git a/legacy/vhdl/spi.vhd b/legacy/vhdl/spi.vhd new file mode 100755 index 0000000..4bdccbe --- /dev/null +++ b/legacy/vhdl/spi.vhd @@ -0,0 +1,202 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 16:26:11 07/16/2009 +-- Design Name: +-- Module Name: spi - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity spi is + Port ( clk : in STD_LOGIC; + clk66 : in std_logic; + reset : in STD_LOGIC; + + address : in STD_LOGIC_VECTOR (31 downto 2); + enable : in std_logic; + byte_we : in std_logic_vector(3 downto 0); + data_read : out std_logic_vector(31 downto 0); + data_write : in STD_LOGIC_VECTOR (31 downto 0); + + spi_miso : out STD_LOGIC; + spi_mosi : in STD_LOGIC; + spi_clk : in STD_LOGIC; + spi_cs : in STD_LOGIC); +end spi; + +architecture Behavioral of spi is + + signal transmit_buffer_do : std_logic_vector(7 downto 0); + signal receive_buffer_do : std_logic_vector(7 downto 0); + + signal transmit_buffer_wea, receive_buffer_wea : std_logic; + + signal spi_transmit_buffer_data : std_logic_vector(7 downto 0); + signal spi_transmit_buffer_address : std_logic_vector(10 downto 0) := (others=>'0'); + + signal spi_receive_buffer_data : std_logic_vector(7 downto 0); + signal spi_receive_buffer_address : std_logic_vector(10 downto 0) := (others=>'0'); + signal spi_receive_buffer_we, spi_receive_buffer_we_last : std_logic; + + signal spi_bit_counter : std_logic_vector(2 downto 0) := (others=>'0'); + + signal spi_clk_reg : std_logic_vector(1 downto 0) := (others=>'0'); + signal spi_cs_reg : std_logic_vector(1 downto 0) := (others=>'1'); + signal spi_bit_in : std_logic_vector(1 downto 0) := (others=>'0'); + + signal spi_in : std_logic_vector(7 downto 0) := (others=>'0'); + signal spi_out : std_logic_vector(7 downto 0) := (others=>'0'); + +begin + +-- transmit_buffer_wea<=byte_we(0) and not address(13); +-- receive_buffer_wea<=byte_we(0) and address(13); + +-- data_read(7 downto 0)<=transmit_buffer_do when address(13)='0' else receive_buffer_do; + + transmit_buffer: RAMB16_S9_S9 + port map ( + CLKA => clk, + ADDRA => address(12 downto 2), + ENA => enable, + WEA => byte_we(0), + + DIA => data_write(7 downto 0), + DIPA => (others=>'0'), + + DOA => open, + DOPA => open, + + SSRA => '0', + + + CLKB => clk66, + ADDRB => spi_transmit_buffer_address, + ENB => '1', + WEB => '0', + + DOB => spi_transmit_buffer_data, + DOPB => open, + + DIB => (others=>'0'), + DIPB => (others=>'0'), + + SSRB => '0' + ); + + receive_buffer: RAMB16_S9_S9 + port map ( + CLKA => clk, + ADDRA => address(12 downto 2), + ENA => enable, + WEA => '0', + + DIA => data_write(7 downto 0), + DIPA => (others=>'0'), + + DOA => data_read(7 downto 0), + DOPA => open, + + SSRA => '0', + + + CLKB => clk66, + ADDRB => spi_receive_buffer_address, + ENB => '1', + WEB => spi_receive_buffer_we, + + DOB => open, + DOPB => open, + + DIB => spi_in, + DIPB => (others=>'0'), + + SSRB => '0' + ); + + + + spi_clk_sample: process(clk66,spi_clk) is + begin + if rising_edge(clk66) then + spi_clk_reg<=spi_clk_reg(0)&spi_clk; + end if; + end process; + + spi_cs_sample: process(clk66,spi_cs) is + begin + if rising_edge(clk66) then + spi_cs_reg<=spi_cs_reg(0)&spi_cs; + end if; + end process; + + spi_mosi_sample: process(clk66,spi_mosi) is + begin + if rising_edge(clk66) then + spi_bit_in<=spi_bit_in(0)&spi_mosi; + end if; + end process; + + spi_transfer: process(clk66,spi_cs_reg,spi_clk_reg,spi_bit_counter,spi_bit_in) is + begin + if rising_edge(clk66) then + spi_receive_buffer_we<='0'; + spi_receive_buffer_we_last<=spi_receive_buffer_we; + if(spi_receive_buffer_we_last='1') then + spi_receive_buffer_address<=spi_receive_buffer_address+1; + end if; + case spi_cs_reg is + when "11" => + spi_bit_counter<=(others=>'0'); + spi_transmit_buffer_address<=(others=>'0'); + spi_receive_buffer_address<=(others=>'0'); + when "10" => + spi_out<=spi_transmit_buffer_data; + spi_transmit_buffer_address<=spi_transmit_buffer_address+1; + when "00" => + case spi_clk_reg is + when "01" => + spi_bit_counter<=spi_bit_counter+1; + spi_in<=spi_in(6 downto 0)&spi_bit_in(1); + if(spi_bit_counter="111") then + spi_receive_buffer_we<='1'; + end if; + when "10" => + if(spi_bit_counter="000") then + spi_out<=spi_transmit_buffer_data; + spi_transmit_buffer_address<=spi_transmit_buffer_address+1; + else + spi_out<=spi_out(6 downto 0)&'0'; + end if; + when others => + end case; + when others => + end case; + end if; + end process; + + spi_miso<=spi_out(7); + +end Behavioral; + + diff --git a/legacy/vhdl/top.vhd b/legacy/vhdl/top.vhd new file mode 100755 index 0000000..0bd6ba0 --- /dev/null +++ b/legacy/vhdl/top.vhd @@ -0,0 +1,117 @@ + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity top is + Port ( clk : in STD_LOGIC; + servo : out STD_LOGIC_VECTOR (17 downto 0); + led : out STD_LOGIC_VECTOR(7 downto 0) + ); +end top; + + +architecture Behavioral of top is + signal counter : std_logic_vector(4 downto 0) := (others=>'0'); -- runs to 50 to get a usec clk at 50 MHz chip clock + signal clk_usec : std_logic := '0'; -- one rising edge per usec + signal counter_usec_20ms : std_logic_vector(14 downto 0) := (others=>'0'); -- counts to 20 000 + signal counter_usec_1sec : std_logic_vector(20 downto 0) := (others=>'0'); -- counts to 500 000 + signal clk_sec : std_logic := '0'; + signal counter_sec : std_logic_vector(7 downto 0); -- counts to 256 + signal servo0pulse : std_logic_vector(10 downto 0) := "10111011100"; -- pulse width-1000: 1000 to 2023 ms + signal servo1pulse : std_logic_vector(10 downto 0) := "10111011100"; -- pulse width-1000: 1000 to 2023 ms + signal servo2pulse : std_logic_vector(10 downto 0) := "10111011100"; -- pulse width-1000: 1000 to 2023 ms + +begin + + count_50mhz : process (clk) is + begin + if(clk'event and clk='1') then + counter<=counter+1; + if(counter=25) then + counter<=(others=>'0'); + if(clk_usec='1') then + clk_usec<='0'; + else + clk_usec<='1'; + end if; + end if; + end if; + end process; + + count_ms : process(clk_usec) is + begin + if(clk_usec'event and clk_usec='1') then + counter_usec_20ms<=counter_usec_20ms+1; + if(counter_usec_20ms=20000) then + counter_usec_20ms<=(others=>'0'); + end if; + counter_usec_1sec<=counter_usec_1sec+1; + if(counter_usec_1sec=500000) then + counter_usec_1sec<=(others=>'0'); + if(clk_sec='0') then + clk_sec<='1'; + else + clk_sec<='0'; + end if; + end if; + end if; + end process; + + count_sec : process(clk_sec) is + begin + if(clk_sec'event and clk_sec='1') then + counter_sec<=counter_sec+1; + servo2pulse<=servo2pulse-4; + if(servo2pulse<1200) then + servo2pulse<="10111011100"; + end if; + end if; + end process; + + servo0 : process (counter_usec_20ms,servo0pulse) is + begin + if(counter_usec_20ms<"01111101000") then + servo(0)<='1'; + elsif(counter_usec_20ms'0'); + + led(7 downto 0)<=counter_sec(7 downto 0); + +end Behavioral; + diff --git a/legacy/vhdl/uart.vhd b/legacy/vhdl/uart.vhd new file mode 100755 index 0000000..535e165 --- /dev/null +++ b/legacy/vhdl/uart.vhd @@ -0,0 +1,181 @@ +--------------------------------------------------------------------- +-- TITLE: UART +-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) +-- DATE CREATED: 5/29/02 +-- FILENAME: uart.vhd +-- PROJECT: Plasma CPU core +-- COPYRIGHT: Software placed into the public domain by the author. +-- Software 'as is' without warranty. Author liable for nothing. +-- DESCRIPTION: +-- Implements the UART. +--------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_misc.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_textio.all; +use ieee.std_logic_unsigned.all; +use std.textio.all; +use work.mlite_pack.all; + +entity uart is + generic(log_file : string := "UNUSED"); + port(clk : in std_logic; + reset : in std_logic; + enable_read : in std_logic; + enable_write : in std_logic; + data_in : in std_logic_vector(7 downto 0); + data_out : out std_logic_vector(7 downto 0); + uart_read : in std_logic; + uart_write : out std_logic; + busy_write : out std_logic; + data_avail : out std_logic); +end; --entity uart + +architecture logic of uart is + signal delay_write_reg : std_logic_vector(9 downto 0); + signal bits_write_reg : std_logic_vector(3 downto 0); + signal data_write_reg : std_logic_vector(8 downto 0); + signal delay_read_reg : std_logic_vector(9 downto 0); + signal bits_read_reg : std_logic_vector(3 downto 0); + signal data_read_reg : std_logic_vector(7 downto 0); + signal data_save_reg : std_logic_vector(17 downto 0); + signal busy_write_sig : std_logic; + signal read_value_reg : std_logic_vector(6 downto 0); + signal uart_read2 : std_logic; + +begin + +uart_proc: process(clk, reset, enable_read, enable_write, data_in, + data_write_reg, bits_write_reg, delay_write_reg, + data_read_reg, bits_read_reg, delay_read_reg, + data_save_reg, read_value_reg, uart_read2, + busy_write_sig, uart_read) + constant COUNT_VALUE : std_logic_vector(9 downto 0) := + "0100011110"; --33MHz/2/57600Hz = 0x11e +-- "1101100100"; --50MHz/57600Hz = 0x364 +-- "0110110010"; --25MHz/57600Hz = 0x1b2 -- Plasma IF uses div2 +-- "0011011001"; --12.5MHz/57600Hz = 0xd9 +-- "0000000100"; --for debug (shorten read_value_reg) +begin + uart_read2 <= read_value_reg(read_value_reg'length - 1); + + if reset = '1' then + data_write_reg <= ZERO(8 downto 1) & '1'; + bits_write_reg <= "0000"; + delay_write_reg <= ZERO(9 downto 0); + read_value_reg <= ONES(read_value_reg'length-1 downto 0); + data_read_reg <= ZERO(7 downto 0); + bits_read_reg <= "0000"; + delay_read_reg <= ZERO(9 downto 0); + data_save_reg <= ZERO(17 downto 0); + elsif rising_edge(clk) then + + --Write UART + if bits_write_reg = "0000" then --nothing left to write? + if enable_write = '1' then + delay_write_reg <= ZERO(9 downto 0); --delay before next bit + bits_write_reg <= "1010"; --number of bits to write + data_write_reg <= data_in & '0'; --remember data & start bit + end if; + else + if delay_write_reg /= COUNT_VALUE then + delay_write_reg <= delay_write_reg + 1; --delay before next bit + else + delay_write_reg <= ZERO(9 downto 0); --reset delay + bits_write_reg <= bits_write_reg - 1; --bits left to write + data_write_reg <= '1' & data_write_reg(8 downto 1); + end if; + end if; + + --Average uart_read signal + if uart_read = '1' then + if read_value_reg /= ONES(read_value_reg'length - 1 downto 0) then + read_value_reg <= read_value_reg + 1; + end if; + else + if read_value_reg /= ZERO(read_value_reg'length - 1 downto 0) then + read_value_reg <= read_value_reg - 1; + end if; + end if; + + --Read UART + if delay_read_reg = ZERO(9 downto 0) then --done delay for read? + if bits_read_reg = "0000" then --nothing left to read? + if uart_read2 = '0' then --wait for start bit + delay_read_reg <= '0' & COUNT_VALUE(9 downto 1); --half period + bits_read_reg <= "1001"; --bits left to read + end if; + else + delay_read_reg <= COUNT_VALUE; --initialize delay + bits_read_reg <= bits_read_reg - 1; --bits left to read + data_read_reg <= uart_read2 & data_read_reg(7 downto 1); + end if; + else + delay_read_reg <= delay_read_reg - 1; --delay + end if; + + --Control character buffer + if bits_read_reg = "0000" and delay_read_reg = COUNT_VALUE then + if data_save_reg(8) = '0' or + (enable_read = '1' and data_save_reg(17) = '0') then + --Empty buffer + data_save_reg(8 downto 0) <= '1' & data_read_reg; + else + --Second character in buffer + data_save_reg(17 downto 9) <= '1' & data_read_reg; + if enable_read = '1' then + data_save_reg(8 downto 0) <= data_save_reg(17 downto 9); + end if; + end if; + elsif enable_read = '1' then + data_save_reg(17) <= '0'; --data_available + data_save_reg(8 downto 0) <= data_save_reg(17 downto 9); + end if; + end if; --rising_edge(clk) + + uart_write <= data_write_reg(0); + if bits_write_reg /= "0000" +-- Comment out the following line for full UART simulation (much slower) + and log_file = "UNUSED" + then + busy_write_sig <= '1'; + else + busy_write_sig <= '0'; + end if; + busy_write <= busy_write_sig; + data_avail <= data_save_reg(8); + data_out <= data_save_reg(7 downto 0); + +end process; --uart_proc + +-- synthesis_off + uart_logger: + if log_file /= "UNUSED" generate + uart_proc: process(clk, enable_write, data_in) + file store_file : text open write_mode is log_file; + variable hex_file_line : line; + variable c : character; + variable index : natural; + variable line_length : natural := 0; + begin + if rising_edge(clk) and busy_write_sig = '0' then + if enable_write = '1' then + index := conv_integer(data_in(6 downto 0)); + if index /= 10 then + c := character'val(index); + write(hex_file_line, c); + line_length := line_length + 1; + end if; + if index = 10 or line_length >= 72 then +--The following line may have to be commented out for synthesis + writeline(store_file, hex_file_line); + line_length := 0; + end if; + end if; --uart_sel + end if; --rising_edge(clk) + end process; --uart_proc + end generate; --uart_logger +-- synthesis_on + +end; --architecture logic