You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

1902 lines
66KB

  1. -- PicoBlaze
  2. --
  3. -- Constant (K) Coded Programmable State Machine for Spartan-3 Devices.
  4. -- Also suitable for use with Virtex-II(PRO) and Virtex-4 devices.
  5. --
  6. -- Includes additional code for enhanced VHDL simulation.
  7. --
  8. -- Version : 1.30
  9. -- Version Date : 14th June 2004
  10. -- Reasons : Avoid issue caused when ENABLE INTERRUPT is used when interrupts are
  11. -- already enabled when an an interrupt input is applied.
  12. -- Improved design for faster ZERO and CARRY flag logic
  13. --
  14. --
  15. -- Previous Version : 1.20
  16. -- Version Date : 9th July 2003
  17. --
  18. -- Start of design entry : 19th May 2003
  19. --
  20. -- Ken Chapman
  21. -- Xilinx Ltd
  22. -- Benchmark House
  23. -- 203 Brooklands Road
  24. -- Weybridge
  25. -- Surrey KT13 ORH
  26. -- United Kingdom
  27. --
  28. -- chapman@xilinx.com
  29. --
  30. -- Instruction disassembly concept inspired by the work of Prof. Dr.-Ing. Bernhard Lang.
  31. -- University of Applied Sciences, Osnabrueck, Germany.
  32. --
  33. ------------------------------------------------------------------------------------
  34. --
  35. -- NOTICE:
  36. --
  37. -- Copyright Xilinx, Inc. 2003. This code may be contain portions patented by other
  38. -- third parties. By providing this core as one possible implementation of a standard,
  39. -- Xilinx is making no representation that the provided implementation of this standard
  40. -- is free from any claims of infringement by any third party. Xilinx expressly
  41. -- disclaims any warranty with respect to the adequacy of the implementation, including
  42. -- but not limited to any warranty or representation that the implementation is free
  43. -- from claims of any third party. Furthermore, Xilinx is providing this core as a
  44. -- courtesy to you and suggests that you contact all third parties to obtain the
  45. -- necessary rights to use this implementation.
  46. --
  47. ------------------------------------------------------------------------------------
  48. --
  49. -- Format of this file.
  50. --
  51. -- This file contains the definition of KCPSM3 as one complete module with sections
  52. -- created using generate loops. This 'flat' approach has been adopted to decrease
  53. -- the time taken to load the module into simulators and the synthesis process.
  54. --
  55. -- The module defines the implementation of the logic using Xilinx primitives.
  56. -- These ensure predictable synthesis results and maximise the density of the implementation.
  57. -- The Unisim Library is used to define Xilinx primitives. It is also used during
  58. -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
  59. --
  60. ------------------------------------------------------------------------------------
  61. --
  62. -- Library declarations
  63. --
  64. -- Standard IEEE libraries
  65. --
  66. library IEEE;
  67. use IEEE.STD_LOGIC_1164.ALL;
  68. use IEEE.STD_LOGIC_ARITH.ALL;
  69. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  70. library unisim;
  71. use unisim.vcomponents.all;
  72. --
  73. ------------------------------------------------------------------------------------
  74. --
  75. -- Main Entity for KCPSM3
  76. --
  77. entity kcpsm3 is
  78. Port ( address : out std_logic_vector(9 downto 0);
  79. instruction : in std_logic_vector(17 downto 0);
  80. port_id : out std_logic_vector(7 downto 0);
  81. write_strobe : out std_logic;
  82. out_port : out std_logic_vector(7 downto 0);
  83. read_strobe : out std_logic;
  84. in_port : in std_logic_vector(7 downto 0);
  85. interrupt : in std_logic;
  86. interrupt_ack : out std_logic;
  87. reset : in std_logic;
  88. clk : in std_logic);
  89. end kcpsm3;
  90. --
  91. ------------------------------------------------------------------------------------
  92. --
  93. -- Start of Main Architecture for KCPSM3
  94. --
  95. architecture low_level_definition of kcpsm3 is
  96. --
  97. ------------------------------------------------------------------------------------
  98. --
  99. -- Signals used in KCPSM3
  100. --
  101. ------------------------------------------------------------------------------------
  102. --
  103. -- Fundamental control and decode signals
  104. --
  105. signal t_state : std_logic;
  106. signal not_t_state : std_logic;
  107. signal internal_reset : std_logic;
  108. signal reset_delay : std_logic;
  109. signal move_group : std_logic;
  110. signal condition_met : std_logic;
  111. signal normal_count : std_logic;
  112. signal call_type : std_logic;
  113. signal push_or_pop_type : std_logic;
  114. signal valid_to_move : std_logic;
  115. --
  116. -- Flag signals
  117. --
  118. signal flag_type : std_logic;
  119. signal flag_write : std_logic;
  120. signal flag_enable : std_logic;
  121. signal zero_flag : std_logic;
  122. signal sel_shadow_zero : std_logic;
  123. signal low_zero : std_logic;
  124. signal high_zero : std_logic;
  125. signal low_zero_carry : std_logic;
  126. signal high_zero_carry : std_logic;
  127. signal zero_carry : std_logic;
  128. signal zero_fast_route : std_logic;
  129. signal low_parity : std_logic;
  130. signal high_parity : std_logic;
  131. signal parity_carry : std_logic;
  132. signal parity : std_logic;
  133. signal carry_flag : std_logic;
  134. signal sel_parity : std_logic;
  135. signal sel_arith_carry : std_logic;
  136. signal sel_shift_carry : std_logic;
  137. signal sel_shadow_carry : std_logic;
  138. signal sel_carry : std_logic_vector(3 downto 0);
  139. signal carry_fast_route : std_logic;
  140. --
  141. -- Interrupt signals
  142. --
  143. signal active_interrupt : std_logic;
  144. signal int_pulse : std_logic;
  145. signal clean_int : std_logic;
  146. signal shadow_carry : std_logic;
  147. signal shadow_zero : std_logic;
  148. signal int_enable : std_logic;
  149. signal int_update_enable : std_logic;
  150. signal int_enable_value : std_logic;
  151. signal interrupt_ack_internal : std_logic;
  152. --
  153. -- Program Counter signals
  154. --
  155. signal pc : std_logic_vector(9 downto 0);
  156. signal pc_vector : std_logic_vector(9 downto 0);
  157. signal pc_vector_carry : std_logic_vector(8 downto 0);
  158. signal inc_pc_vector : std_logic_vector(9 downto 0);
  159. signal pc_value : std_logic_vector(9 downto 0);
  160. signal pc_value_carry : std_logic_vector(8 downto 0);
  161. signal inc_pc_value : std_logic_vector(9 downto 0);
  162. signal pc_enable : std_logic;
  163. --
  164. -- Data Register signals
  165. --
  166. signal sx : std_logic_vector(7 downto 0);
  167. signal sy : std_logic_vector(7 downto 0);
  168. signal register_type : std_logic;
  169. signal register_write : std_logic;
  170. signal register_enable : std_logic;
  171. signal second_operand : std_logic_vector(7 downto 0);
  172. --
  173. -- Scratch Pad Memory signals
  174. --
  175. signal memory_data : std_logic_vector(7 downto 0);
  176. signal store_data : std_logic_vector(7 downto 0);
  177. signal memory_type : std_logic;
  178. signal memory_write : std_logic;
  179. signal memory_enable : std_logic;
  180. --
  181. -- Stack signals
  182. --
  183. signal stack_pop_data : std_logic_vector(9 downto 0);
  184. signal stack_ram_data : std_logic_vector(9 downto 0);
  185. signal stack_address : std_logic_vector(4 downto 0);
  186. signal half_stack_address : std_logic_vector(4 downto 0);
  187. signal stack_address_carry : std_logic_vector(3 downto 0);
  188. signal next_stack_address : std_logic_vector(4 downto 0);
  189. signal stack_write_enable : std_logic;
  190. signal not_active_interrupt : std_logic;
  191. --
  192. -- ALU signals
  193. --
  194. signal logical_result : std_logic_vector(7 downto 0);
  195. signal logical_value : std_logic_vector(7 downto 0);
  196. signal sel_logical : std_logic;
  197. signal shift_result : std_logic_vector(7 downto 0);
  198. signal shift_value : std_logic_vector(7 downto 0);
  199. signal sel_shift : std_logic;
  200. signal high_shift_in : std_logic;
  201. signal low_shift_in : std_logic;
  202. signal shift_in : std_logic;
  203. signal shift_carry : std_logic;
  204. signal shift_carry_value : std_logic;
  205. signal arith_result : std_logic_vector(7 downto 0);
  206. signal arith_value : std_logic_vector(7 downto 0);
  207. signal half_arith : std_logic_vector(7 downto 0);
  208. signal arith_internal_carry : std_logic_vector(7 downto 0);
  209. signal sel_arith_carry_in : std_logic;
  210. signal arith_carry_in : std_logic;
  211. signal invert_arith_carry : std_logic;
  212. signal arith_carry_out : std_logic;
  213. signal sel_arith : std_logic;
  214. signal arith_carry : std_logic;
  215. --
  216. -- ALU multiplexer signals
  217. --
  218. signal input_fetch_type : std_logic;
  219. signal sel_group : std_logic;
  220. signal alu_group : std_logic_vector(7 downto 0);
  221. signal input_group : std_logic_vector(7 downto 0);
  222. signal alu_result : std_logic_vector(7 downto 0);
  223. --
  224. -- read and write strobes
  225. --
  226. signal io_initial_decode : std_logic;
  227. signal write_active : std_logic;
  228. signal read_active : std_logic;
  229. --
  230. --
  231. ------------------------------------------------------------------------------------
  232. --
  233. -- Attributes to define LUT contents during implementation for primitives not
  234. -- contained within generate loops. In each case the information is repeated
  235. -- in the generic map for functional simulation
  236. --
  237. attribute INIT : string;
  238. attribute INIT of t_state_lut : label is "1";
  239. attribute INIT of int_pulse_lut : label is "0080";
  240. attribute INIT of int_update_lut : label is "EAAA";
  241. attribute INIT of int_value_lut : label is "04";
  242. attribute INIT of move_group_lut : label is "7400";
  243. attribute INIT of condition_met_lut : label is "5A3C";
  244. attribute INIT of normal_count_lut : label is "2F";
  245. attribute INIT of call_type_lut : label is "1000";
  246. attribute INIT of push_pop_lut : label is "5400";
  247. attribute INIT of valid_move_lut : label is "D";
  248. attribute INIT of flag_type_lut : label is "41FC";
  249. attribute INIT of flag_enable_lut : label is "8";
  250. attribute INIT of low_zero_lut : label is "0001";
  251. attribute INIT of high_zero_lut : label is "0001";
  252. attribute INIT of sel_shadow_zero_lut : label is "3F";
  253. attribute INIT of low_parity_lut : label is "6996";
  254. attribute INIT of high_parity_lut : label is "6996";
  255. attribute INIT of sel_parity_lut : label is "F3FF";
  256. attribute INIT of sel_arith_carry_lut : label is "F3";
  257. attribute INIT of sel_shift_carry_lut : label is "C";
  258. attribute INIT of sel_shadow_carry_lut : label is "3";
  259. attribute INIT of register_type_lut : label is "0145";
  260. attribute INIT of register_enable_lut : label is "8";
  261. attribute INIT of memory_type_lut : label is "0400";
  262. attribute INIT of memory_enable_lut : label is "8000";
  263. attribute INIT of sel_logical_lut : label is "FFE2";
  264. attribute INIT of low_shift_in_lut : label is "E4";
  265. attribute INIT of high_shift_in_lut : label is "E4";
  266. attribute INIT of shift_carry_lut : label is "E4";
  267. attribute INIT of sel_arith_lut : label is "1F";
  268. attribute INIT of input_fetch_type_lut : label is "0002";
  269. attribute INIT of io_decode_lut : label is "0010";
  270. attribute INIT of write_active_lut : label is "4000";
  271. attribute INIT of read_active_lut : label is "0100";
  272. --
  273. ------------------------------------------------------------------------------------
  274. --
  275. -- Start of KCPSM3 circuit description
  276. --
  277. ------------------------------------------------------------------------------------
  278. --
  279. begin
  280. --
  281. ------------------------------------------------------------------------------------
  282. --
  283. -- Fundamental Control
  284. --
  285. -- Definition of T-state and internal reset
  286. --
  287. ------------------------------------------------------------------------------------
  288. --
  289. t_state_lut: LUT1
  290. --synthesis translate_off
  291. generic map (INIT => X"1")
  292. --synthesis translate_on
  293. port map( I0 => t_state,
  294. O => not_t_state );
  295. toggle_flop: FDR
  296. port map ( D => not_t_state,
  297. Q => t_state,
  298. R => internal_reset,
  299. C => clk);
  300. reset_flop1: FDS
  301. port map ( D => '0',
  302. Q => reset_delay,
  303. S => reset,
  304. C => clk);
  305. reset_flop2: FDS
  306. port map ( D => reset_delay,
  307. Q => internal_reset,
  308. S => reset,
  309. C => clk);
  310. --
  311. ------------------------------------------------------------------------------------
  312. --
  313. -- Interrupt input logic, Interrupt enable and shadow Flags.
  314. --
  315. -- Captures interrupt input and enables the shadow flags.
  316. -- Decodes instructions which set and reset the interrupt enable flip-flop.
  317. --
  318. ------------------------------------------------------------------------------------
  319. --
  320. -- Interrupt capture
  321. int_capture_flop: FDR
  322. port map ( D => interrupt,
  323. Q => clean_int,
  324. R => internal_reset,
  325. C => clk);
  326. int_pulse_lut: LUT4
  327. --synthesis translate_off
  328. generic map (INIT => X"0080")
  329. --synthesis translate_on
  330. port map( I0 => t_state,
  331. I1 => clean_int,
  332. I2 => int_enable,
  333. I3 => active_interrupt,
  334. O => int_pulse );
  335. int_flop: FDR
  336. port map ( D => int_pulse,
  337. Q => active_interrupt,
  338. R => internal_reset,
  339. C => clk);
  340. ack_flop: FD
  341. port map ( D => active_interrupt,
  342. Q => interrupt_ack_internal,
  343. C => clk);
  344. interrupt_ack <= interrupt_ack_internal;
  345. -- Shadow flags
  346. shadow_carry_flop: FDE
  347. port map ( D => carry_flag,
  348. Q => shadow_carry,
  349. CE => active_interrupt,
  350. C => clk);
  351. shadow_zero_flop: FDE
  352. port map ( D => zero_flag,
  353. Q => shadow_zero,
  354. CE => active_interrupt,
  355. C => clk);
  356. -- Decode instructions that set or reset interrupt enable
  357. int_update_lut: LUT4
  358. --synthesis translate_off
  359. generic map (INIT => X"EAAA")
  360. --synthesis translate_on
  361. port map( I0 => active_interrupt,
  362. I1 => instruction(15),
  363. I2 => instruction(16),
  364. I3 => instruction(17),
  365. O => int_update_enable );
  366. int_value_lut: LUT3
  367. --synthesis translate_off
  368. generic map (INIT => X"04")
  369. --synthesis translate_on
  370. port map( I0 => active_interrupt,
  371. I1 => instruction(0),
  372. I2 => interrupt_ack_internal,
  373. O => int_enable_value );
  374. int_enable_flop: FDRE
  375. port map ( D => int_enable_value,
  376. Q => int_enable,
  377. CE => int_update_enable,
  378. R => internal_reset,
  379. C => clk);
  380. --
  381. ------------------------------------------------------------------------------------
  382. --
  383. -- Decodes for the control of the program counter and CALL/RETURN stack
  384. --
  385. ------------------------------------------------------------------------------------
  386. --
  387. move_group_lut: LUT4
  388. --synthesis translate_off
  389. generic map (INIT => X"7400")
  390. --synthesis translate_on
  391. port map( I0 => instruction(14),
  392. I1 => instruction(15),
  393. I2 => instruction(16),
  394. I3 => instruction(17),
  395. O => move_group );
  396. condition_met_lut: LUT4
  397. --synthesis translate_off
  398. generic map (INIT => X"5A3C")
  399. --synthesis translate_on
  400. port map( I0 => carry_flag,
  401. I1 => zero_flag,
  402. I2 => instruction(10),
  403. I3 => instruction(11),
  404. O => condition_met );
  405. normal_count_lut: LUT3
  406. --synthesis translate_off
  407. generic map (INIT => X"2F")
  408. --synthesis translate_on
  409. port map( I0 => instruction(12),
  410. I1 => condition_met,
  411. I2 => move_group,
  412. O => normal_count );
  413. call_type_lut: LUT4
  414. --synthesis translate_off
  415. generic map (INIT => X"1000")
  416. --synthesis translate_on
  417. port map( I0 => instruction(14),
  418. I1 => instruction(15),
  419. I2 => instruction(16),
  420. I3 => instruction(17),
  421. O => call_type );
  422. push_pop_lut: LUT4
  423. --synthesis translate_off
  424. generic map (INIT => X"5400")
  425. --synthesis translate_on
  426. port map( I0 => instruction(14),
  427. I1 => instruction(15),
  428. I2 => instruction(16),
  429. I3 => instruction(17),
  430. O => push_or_pop_type );
  431. valid_move_lut: LUT2
  432. --synthesis translate_off
  433. generic map (INIT => X"D")
  434. --synthesis translate_on
  435. port map( I0 => instruction(12),
  436. I1 => condition_met,
  437. O => valid_to_move );
  438. --
  439. ------------------------------------------------------------------------------------
  440. --
  441. -- The ZERO and CARRY Flags
  442. --
  443. ------------------------------------------------------------------------------------
  444. --
  445. -- Enable for flags
  446. flag_type_lut: LUT4
  447. --synthesis translate_off
  448. generic map (INIT => X"41FC")
  449. --synthesis translate_on
  450. port map( I0 => instruction(14),
  451. I1 => instruction(15),
  452. I2 => instruction(16),
  453. I3 => instruction(17),
  454. O => flag_type );
  455. flag_write_flop: FD
  456. port map ( D => flag_type,
  457. Q => flag_write,
  458. C => clk);
  459. flag_enable_lut: LUT2
  460. --synthesis translate_off
  461. generic map (INIT => X"8")
  462. --synthesis translate_on
  463. port map( I0 => t_state,
  464. I1 => flag_write,
  465. O => flag_enable );
  466. -- Zero Flag
  467. low_zero_lut: LUT4
  468. --synthesis translate_off
  469. generic map (INIT => X"0001")
  470. --synthesis translate_on
  471. port map( I0 => alu_result(0),
  472. I1 => alu_result(1),
  473. I2 => alu_result(2),
  474. I3 => alu_result(3),
  475. O => low_zero );
  476. high_zero_lut: LUT4
  477. --synthesis translate_off
  478. generic map (INIT => X"0001")
  479. --synthesis translate_on
  480. port map( I0 => alu_result(4),
  481. I1 => alu_result(5),
  482. I2 => alu_result(6),
  483. I3 => alu_result(7),
  484. O => high_zero );
  485. low_zero_muxcy: MUXCY
  486. port map( DI => '0',
  487. CI => '1',
  488. S => low_zero,
  489. O => low_zero_carry );
  490. high_zero_cymux: MUXCY
  491. port map( DI => '0',
  492. CI => low_zero_carry,
  493. S => high_zero,
  494. O => high_zero_carry );
  495. sel_shadow_zero_lut: LUT3
  496. --synthesis translate_off
  497. generic map (INIT => X"3F")
  498. --synthesis translate_on
  499. port map( I0 => shadow_zero,
  500. I1 => instruction(16),
  501. I2 => instruction(17),
  502. O => sel_shadow_zero );
  503. zero_cymux: MUXCY
  504. port map( DI => shadow_zero,
  505. CI => high_zero_carry,
  506. S => sel_shadow_zero,
  507. O => zero_carry );
  508. zero_xor: XORCY
  509. port map( LI => '0',
  510. CI => zero_carry,
  511. O => zero_fast_route);
  512. zero_flag_flop: FDRE
  513. port map ( D => zero_fast_route,
  514. Q => zero_flag,
  515. CE => flag_enable,
  516. R => internal_reset,
  517. C => clk);
  518. -- Parity detection
  519. low_parity_lut: LUT4
  520. --synthesis translate_off
  521. generic map (INIT => X"6996")
  522. --synthesis translate_on
  523. port map( I0 => logical_result(0),
  524. I1 => logical_result(1),
  525. I2 => logical_result(2),
  526. I3 => logical_result(3),
  527. O => low_parity );
  528. high_parity_lut: LUT4
  529. --synthesis translate_off
  530. generic map (INIT => X"6996")
  531. --synthesis translate_on
  532. port map( I0 => logical_result(4),
  533. I1 => logical_result(5),
  534. I2 => logical_result(6),
  535. I3 => logical_result(7),
  536. O => high_parity );
  537. parity_muxcy: MUXCY
  538. port map( DI => '0',
  539. CI => '1',
  540. S => low_parity,
  541. O => parity_carry );
  542. parity_xor: XORCY
  543. port map( LI => high_parity,
  544. CI => parity_carry,
  545. O => parity);
  546. -- CARRY flag selection
  547. sel_parity_lut: LUT4
  548. --synthesis translate_off
  549. generic map (INIT => X"F3FF")
  550. --synthesis translate_on
  551. port map( I0 => parity,
  552. I1 => instruction(13),
  553. I2 => instruction(15),
  554. I3 => instruction(16),
  555. O => sel_parity );
  556. sel_arith_carry_lut: LUT3
  557. --synthesis translate_off
  558. generic map (INIT => X"F3")
  559. --synthesis translate_on
  560. port map( I0 => arith_carry,
  561. I1 => instruction(16),
  562. I2 => instruction(17),
  563. O => sel_arith_carry );
  564. sel_shift_carry_lut: LUT2
  565. --synthesis translate_off
  566. generic map (INIT => X"C")
  567. --synthesis translate_on
  568. port map( I0 => shift_carry,
  569. I1 => instruction(15),
  570. O => sel_shift_carry );
  571. sel_shadow_carry_lut: LUT2
  572. --synthesis translate_off
  573. generic map (INIT => X"3")
  574. --synthesis translate_on
  575. port map( I0 => shadow_carry,
  576. I1 => instruction(17),
  577. O => sel_shadow_carry );
  578. sel_shadow_muxcy: MUXCY
  579. port map( DI => shadow_carry,
  580. CI => '0',
  581. S => sel_shadow_carry,
  582. O => sel_carry(0) );
  583. sel_shift_muxcy: MUXCY
  584. port map( DI => shift_carry,
  585. CI => sel_carry(0),
  586. S => sel_shift_carry,
  587. O => sel_carry(1) );
  588. sel_arith_muxcy: MUXCY
  589. port map( DI => arith_carry,
  590. CI => sel_carry(1),
  591. S => sel_arith_carry,
  592. O => sel_carry(2) );
  593. sel_parity_muxcy: MUXCY
  594. port map( DI => parity,
  595. CI => sel_carry(2),
  596. S => sel_parity,
  597. O => sel_carry(3) );
  598. carry_xor: XORCY
  599. port map( LI => '0',
  600. CI => sel_carry(3),
  601. O => carry_fast_route);
  602. carry_flag_flop: FDRE
  603. port map ( D => carry_fast_route,
  604. Q => carry_flag,
  605. CE => flag_enable,
  606. R => internal_reset,
  607. C => clk);
  608. --
  609. ------------------------------------------------------------------------------------
  610. --
  611. -- The Program Counter
  612. --
  613. -- Definition of a 10-bit counter which can be loaded from two sources
  614. --
  615. ------------------------------------------------------------------------------------
  616. --
  617. invert_enable: INV -- Inverter should be implemented in the CE to flip flops
  618. port map( I => t_state,
  619. O => pc_enable);
  620. pc_loop: for i in 0 to 9 generate
  621. --
  622. -- Attribute to define LUT contents during implementation
  623. -- The information is repeated in the generic map for functional simulation
  624. --
  625. attribute INIT : string;
  626. attribute INIT of vector_select_mux : label is "E4";
  627. attribute INIT of value_select_mux : label is "E4";
  628. --
  629. begin
  630. vector_select_mux: LUT3
  631. --synthesis translate_off
  632. generic map (INIT => X"E4")
  633. --synthesis translate_on
  634. port map( I0 => instruction(15),
  635. I1 => instruction(i),
  636. I2 => stack_pop_data(i),
  637. O => pc_vector(i) );
  638. value_select_mux: LUT3
  639. --synthesis translate_off
  640. generic map (INIT => X"E4")
  641. --synthesis translate_on
  642. port map( I0 => normal_count,
  643. I1 => inc_pc_vector(i),
  644. I2 => pc(i),
  645. O => pc_value(i) );
  646. register_bit: FDRSE
  647. port map ( D => inc_pc_value(i),
  648. Q => pc(i),
  649. R => internal_reset,
  650. S => active_interrupt,
  651. CE => pc_enable,
  652. C => clk);
  653. pc_lsb_carry: if i=0 generate
  654. begin
  655. pc_vector_muxcy: MUXCY
  656. port map( DI => '0',
  657. CI => instruction(13),
  658. S => pc_vector(i),
  659. O => pc_vector_carry(i));
  660. pc_vector_xor: XORCY
  661. port map( LI => pc_vector(i),
  662. CI => instruction(13),
  663. O => inc_pc_vector(i));
  664. pc_value_muxcy: MUXCY
  665. port map( DI => '0',
  666. CI => normal_count,
  667. S => pc_value(i),
  668. O => pc_value_carry(i));
  669. pc_value_xor: XORCY
  670. port map( LI => pc_value(i),
  671. CI => normal_count,
  672. O => inc_pc_value(i));
  673. end generate pc_lsb_carry;
  674. pc_mid_carry: if i>0 and i<9 generate
  675. begin
  676. pc_vector_muxcy: MUXCY
  677. port map( DI => '0',
  678. CI => pc_vector_carry(i-1),
  679. S => pc_vector(i),
  680. O => pc_vector_carry(i));
  681. pc_vector_xor: XORCY
  682. port map( LI => pc_vector(i),
  683. CI => pc_vector_carry(i-1),
  684. O => inc_pc_vector(i));
  685. pc_value_muxcy: MUXCY
  686. port map( DI => '0',
  687. CI => pc_value_carry(i-1),
  688. S => pc_value(i),
  689. O => pc_value_carry(i));
  690. pc_value_xor: XORCY
  691. port map( LI => pc_value(i),
  692. CI => pc_value_carry(i-1),
  693. O => inc_pc_value(i));
  694. end generate pc_mid_carry;
  695. pc_msb_carry: if i=9 generate
  696. begin
  697. pc_vector_xor: XORCY
  698. port map( LI => pc_vector(i),
  699. CI => pc_vector_carry(i-1),
  700. O => inc_pc_vector(i));
  701. pc_value_xor: XORCY
  702. port map( LI => pc_value(i),
  703. CI => pc_value_carry(i-1),
  704. O => inc_pc_value(i));
  705. end generate pc_msb_carry;
  706. end generate pc_loop;
  707. address <= pc;
  708. --
  709. ------------------------------------------------------------------------------------
  710. --
  711. -- Register Bank and second operand selection.
  712. --
  713. -- Definition of an 8-bit dual port RAM with 16 locations
  714. -- including write enable decode.
  715. --
  716. -- Outputs are assigned to PORT_ID and OUT_PORT.
  717. --
  718. ------------------------------------------------------------------------------------
  719. --
  720. -- Forming decode signal
  721. register_type_lut: LUT4
  722. --synthesis translate_off
  723. generic map (INIT => X"0145")
  724. --synthesis translate_on
  725. port map( I0 => active_interrupt,
  726. I1 => instruction(15),
  727. I2 => instruction(16),
  728. I3 => instruction(17),
  729. O => register_type );
  730. register_write_flop: FD
  731. port map ( D => register_type,
  732. Q => register_write,
  733. C => clk);
  734. register_enable_lut: LUT2
  735. --synthesis translate_off
  736. generic map (INIT => X"8")
  737. --synthesis translate_on
  738. port map( I0 => t_state,
  739. I1 => register_write,
  740. O => register_enable );
  741. reg_loop: for i in 0 to 7 generate
  742. --
  743. -- Attribute to define RAM contents during implementation
  744. -- The information is repeated in the generic map for functional simulation
  745. --
  746. attribute INIT : string;
  747. attribute INIT of register_bit : label is "0000";
  748. attribute INIT of operand_select_mux : label is "E4";
  749. --
  750. begin
  751. register_bit: RAM16X1D
  752. --synthesis translate_off
  753. generic map(INIT => X"0000")
  754. --synthesis translate_on
  755. port map ( D => alu_result(i),
  756. WE => register_enable,
  757. WCLK => clk,
  758. A0 => instruction(8),
  759. A1 => instruction(9),
  760. A2 => instruction(10),
  761. A3 => instruction(11),
  762. DPRA0 => instruction(4),
  763. DPRA1 => instruction(5),
  764. DPRA2 => instruction(6),
  765. DPRA3 => instruction(7),
  766. SPO => sx(i),
  767. DPO => sy(i));
  768. operand_select_mux: LUT3
  769. --synthesis translate_off
  770. generic map (INIT => X"E4")
  771. --synthesis translate_on
  772. port map( I0 => instruction(12),
  773. I1 => instruction(i),
  774. I2 => sy(i),
  775. O => second_operand(i) );
  776. end generate reg_loop;
  777. out_port <= sx;
  778. port_id <= second_operand;
  779. --
  780. ------------------------------------------------------------------------------------
  781. --
  782. -- Store Memory
  783. --
  784. -- Definition of an 8-bit single port RAM with 64 locations
  785. -- including write enable decode.
  786. --
  787. ------------------------------------------------------------------------------------
  788. --
  789. -- Forming decode signal
  790. memory_type_lut: LUT4
  791. --synthesis translate_off
  792. generic map (INIT => X"0400")
  793. --synthesis translate_on
  794. port map( I0 => active_interrupt,
  795. I1 => instruction(15),
  796. I2 => instruction(16),
  797. I3 => instruction(17),
  798. O => memory_type );
  799. memory_write_flop: FD
  800. port map ( D => memory_type,
  801. Q => memory_write,
  802. C => clk);
  803. memory_enable_lut: LUT4
  804. --synthesis translate_off
  805. generic map (INIT => X"8000")
  806. --synthesis translate_on
  807. port map( I0 => t_state,
  808. I1 => instruction(13),
  809. I2 => instruction(14),
  810. I3 => memory_write,
  811. O => memory_enable );
  812. store_loop: for i in 0 to 7 generate
  813. --
  814. -- Attribute to define RAM contents during implementation
  815. -- The information is repeated in the generic map for functional simulation
  816. --
  817. attribute INIT : string;
  818. attribute INIT of memory_bit : label is "0000000000000000";
  819. --
  820. begin
  821. memory_bit: RAM64X1S
  822. --synthesis translate_off
  823. generic map(INIT => X"0000000000000000")
  824. --synthesis translate_on
  825. port map ( D => sx(i),
  826. WE => memory_enable,
  827. WCLK => clk,
  828. A0 => second_operand(0),
  829. A1 => second_operand(1),
  830. A2 => second_operand(2),
  831. A3 => second_operand(3),
  832. A4 => second_operand(4),
  833. A5 => second_operand(5),
  834. O => memory_data(i));
  835. store_flop: FD
  836. port map ( D => memory_data(i),
  837. Q => store_data(i),
  838. C => clk);
  839. end generate store_loop;
  840. --
  841. ------------------------------------------------------------------------------------
  842. --
  843. -- Logical operations
  844. --
  845. -- Definition of AND, OR, XOR and LOAD functions which also provides TEST.
  846. -- Includes pipeline stage used to form ALU multiplexer including decode.
  847. --
  848. ------------------------------------------------------------------------------------
  849. --
  850. sel_logical_lut: LUT4
  851. --synthesis translate_off
  852. generic map (INIT => X"FFE2")
  853. --synthesis translate_on
  854. port map( I0 => instruction(14),
  855. I1 => instruction(15),
  856. I2 => instruction(16),
  857. I3 => instruction(17),
  858. O => sel_logical );
  859. logical_loop: for i in 0 to 7 generate
  860. --
  861. -- Attribute to define LUT contents during implementation
  862. -- The information is repeated in the generic map for functional simulation
  863. attribute INIT : string;
  864. attribute INIT of logical_lut : label is "6E8A";
  865. --
  866. begin
  867. logical_lut: LUT4
  868. --synthesis translate_off
  869. generic map (INIT => X"6E8A")
  870. --synthesis translate_on
  871. port map( I0 => second_operand(i),
  872. I1 => sx(i),
  873. I2 => instruction(13),
  874. I3 => instruction(14),
  875. O => logical_value(i));
  876. logical_flop: FDR
  877. port map ( D => logical_value(i),
  878. Q => logical_result(i),
  879. R => sel_logical,
  880. C => clk);
  881. end generate logical_loop;
  882. --
  883. --
  884. ------------------------------------------------------------------------------------
  885. --
  886. -- Shift and Rotate operations
  887. --
  888. -- Includes pipeline stage used to form ALU multiplexer including decode.
  889. --
  890. ------------------------------------------------------------------------------------
  891. --
  892. sel_shift_inv: INV -- Inverter should be implemented in the reset to flip flops
  893. port map( I => instruction(17),
  894. O => sel_shift);
  895. -- Bit to input to shift register
  896. high_shift_in_lut: LUT3
  897. --synthesis translate_off
  898. generic map (INIT => X"E4")
  899. --synthesis translate_on
  900. port map( I0 => instruction(1),
  901. I1 => sx(0),
  902. I2 => instruction(0),
  903. O => high_shift_in );
  904. low_shift_in_lut: LUT3
  905. --synthesis translate_off
  906. generic map (INIT => X"E4")
  907. --synthesis translate_on
  908. port map( I0 => instruction(1),
  909. I1 => carry_flag,
  910. I2 => sx(7),
  911. O => low_shift_in );
  912. shift_in_muxf5: MUXF5
  913. port map( I1 => high_shift_in,
  914. I0 => low_shift_in,
  915. S => instruction(2),
  916. O => shift_in );
  917. -- Forming shift carry signal
  918. shift_carry_lut: LUT3
  919. --synthesis translate_off
  920. generic map (INIT => X"E4")
  921. --synthesis translate_on
  922. port map( I0 => instruction(3),
  923. I1 => sx(7),
  924. I2 => sx(0),
  925. O => shift_carry_value );
  926. pipeline_bit: FD
  927. port map ( D => shift_carry_value,
  928. Q => shift_carry,
  929. C => clk);
  930. shift_loop: for i in 0 to 7 generate
  931. begin
  932. lsb_shift: if i=0 generate
  933. --
  934. -- Attribute to define LUT contents during implementation
  935. -- The information is repeated in the generic map for functional simulation
  936. attribute INIT : string;
  937. attribute INIT of shift_mux_lut : label is "E4";
  938. --
  939. begin
  940. shift_mux_lut: LUT3
  941. --synthesis translate_off
  942. generic map (INIT => X"E4")
  943. --synthesis translate_on
  944. port map( I0 => instruction(3),
  945. I1 => shift_in,
  946. I2 => sx(i+1),
  947. O => shift_value(i) );
  948. end generate lsb_shift;
  949. mid_shift: if i>0 and i<7 generate
  950. --
  951. -- Attribute to define LUT contents during implementation
  952. -- The information is repeated in the generic map for functional simulation
  953. attribute INIT : string;
  954. attribute INIT of shift_mux_lut : label is "E4";
  955. --
  956. begin
  957. shift_mux_lut: LUT3
  958. --synthesis translate_off
  959. generic map (INIT => X"E4")
  960. --synthesis translate_on
  961. port map( I0 => instruction(3),
  962. I1 => sx(i-1),
  963. I2 => sx(i+1),
  964. O => shift_value(i) );
  965. end generate mid_shift;
  966. msb_shift: if i=7 generate
  967. --
  968. -- Attribute to define LUT contents during implementation
  969. -- The information is repeated in the generic map for functional simulation
  970. attribute INIT : string;
  971. attribute INIT of shift_mux_lut : label is "E4";
  972. --
  973. begin
  974. shift_mux_lut: LUT3
  975. --synthesis translate_off
  976. generic map (INIT => X"E4")
  977. --synthesis translate_on
  978. port map( I0 => instruction(3),
  979. I1 => sx(i-1),
  980. I2 => shift_in,
  981. O => shift_value(i) );
  982. end generate msb_shift;
  983. shift_flop: FDR
  984. port map ( D => shift_value(i),
  985. Q => shift_result(i),
  986. R => sel_shift,
  987. C => clk);
  988. end generate shift_loop;
  989. --
  990. ------------------------------------------------------------------------------------
  991. --
  992. -- Arithmetic operations
  993. --
  994. -- Definition of ADD, ADDCY, SUB and SUBCY functions which also provides COMPARE.
  995. -- Includes pipeline stage used to form ALU multiplexer including decode.
  996. --
  997. ------------------------------------------------------------------------------------
  998. --
  999. sel_arith_lut: LUT3
  1000. --synthesis translate_off
  1001. generic map (INIT => X"1F")
  1002. --synthesis translate_on
  1003. port map( I0 => instruction(14),
  1004. I1 => instruction(15),
  1005. I2 => instruction(16),
  1006. O => sel_arith );
  1007. arith_loop: for i in 0 to 7 generate
  1008. --
  1009. -- Attribute to define LUT contents during implementation
  1010. -- The information is repeated in the generic map for functional simulation
  1011. attribute INIT : string;
  1012. attribute INIT of arith_lut : label is "96";
  1013. --
  1014. begin
  1015. lsb_arith: if i=0 generate
  1016. --
  1017. -- Attribute to define LUT contents during implementation
  1018. -- The information is repeated in the generic map for functional simulation
  1019. attribute INIT : string;
  1020. attribute INIT of arith_carry_in_lut : label is "6C";
  1021. --
  1022. begin
  1023. arith_carry_in_lut: LUT3
  1024. --synthesis translate_off
  1025. generic map (INIT => X"6C")
  1026. --synthesis translate_on
  1027. port map( I0 => instruction(13),
  1028. I1 => instruction(14),
  1029. I2 => carry_flag,
  1030. O => sel_arith_carry_in );
  1031. arith_carry_in_muxcy: MUXCY
  1032. port map( DI => '0',
  1033. CI => '1',
  1034. S => sel_arith_carry_in,
  1035. O => arith_carry_in);
  1036. arith_muxcy: MUXCY
  1037. port map( DI => sx(i),
  1038. CI => arith_carry_in,
  1039. S => half_arith(i),
  1040. O => arith_internal_carry(i));
  1041. arith_xor: XORCY
  1042. port map( LI => half_arith(i),
  1043. CI => arith_carry_in,
  1044. O => arith_value(i));
  1045. end generate lsb_arith;
  1046. mid_arith: if i>0 and i<7 generate
  1047. begin
  1048. arith_muxcy: MUXCY
  1049. port map( DI => sx(i),
  1050. CI => arith_internal_carry(i-1),
  1051. S => half_arith(i),
  1052. O => arith_internal_carry(i));
  1053. arith_xor: XORCY
  1054. port map( LI => half_arith(i),
  1055. CI => arith_internal_carry(i-1),
  1056. O => arith_value(i));
  1057. end generate mid_arith;
  1058. msb_arith: if i=7 generate
  1059. --
  1060. -- Attribute to define LUT contents during implementation
  1061. -- The information is repeated in the generic map for functional simulation
  1062. attribute INIT : string;
  1063. attribute INIT of arith_carry_out_lut : label is "2";
  1064. --
  1065. begin
  1066. arith_muxcy: MUXCY
  1067. port map( DI => sx(i),
  1068. CI => arith_internal_carry(i-1),
  1069. S => half_arith(i),
  1070. O => arith_internal_carry(i));
  1071. arith_xor: XORCY
  1072. port map( LI => half_arith(i),
  1073. CI => arith_internal_carry(i-1),
  1074. O => arith_value(i));
  1075. arith_carry_out_lut: LUT1
  1076. --synthesis translate_off
  1077. generic map (INIT => X"2")
  1078. --synthesis translate_on
  1079. port map( I0 => instruction(14),
  1080. O => invert_arith_carry );
  1081. arith_carry_out_xor: XORCY
  1082. port map( LI => invert_arith_carry,
  1083. CI => arith_internal_carry(i),
  1084. O => arith_carry_out);
  1085. arith_carry_flop: FDR
  1086. port map ( D => arith_carry_out,
  1087. Q => arith_carry,
  1088. R => sel_arith,
  1089. C => clk);
  1090. end generate msb_arith;
  1091. arith_lut: LUT3
  1092. --synthesis translate_off
  1093. generic map (INIT => X"96")
  1094. --synthesis translate_on
  1095. port map( I0 => sx(i),
  1096. I1 => second_operand(i),
  1097. I2 => instruction(14),
  1098. O => half_arith(i));
  1099. arith_flop: FDR
  1100. port map ( D => arith_value(i),
  1101. Q => arith_result(i),
  1102. R => sel_arith,
  1103. C => clk);
  1104. end generate arith_loop;
  1105. --
  1106. --
  1107. ------------------------------------------------------------------------------------
  1108. --
  1109. -- ALU multiplexer
  1110. --
  1111. ------------------------------------------------------------------------------------
  1112. --
  1113. input_fetch_type_lut: LUT4
  1114. --synthesis translate_off
  1115. generic map (INIT => X"0002")
  1116. --synthesis translate_on
  1117. port map( I0 => instruction(14),
  1118. I1 => instruction(15),
  1119. I2 => instruction(16),
  1120. I3 => instruction(17),
  1121. O => input_fetch_type );
  1122. sel_group_flop: FD
  1123. port map ( D => input_fetch_type,
  1124. Q => sel_group,
  1125. C => clk);
  1126. alu_mux_loop: for i in 0 to 7 generate
  1127. --
  1128. -- Attribute to define LUT contents during implementation
  1129. -- The information is repeated in the generic map for functional simulation
  1130. attribute INIT : string;
  1131. attribute INIT of or_lut : label is "FE";
  1132. attribute INIT of mux_lut : label is "E4";
  1133. --
  1134. begin
  1135. or_lut: LUT3
  1136. --synthesis translate_off
  1137. generic map (INIT => X"FE")
  1138. --synthesis translate_on
  1139. port map( I0 => logical_result(i),
  1140. I1 => arith_result(i),
  1141. I2 => shift_result(i),
  1142. O => alu_group(i));
  1143. mux_lut: LUT3
  1144. --synthesis translate_off
  1145. generic map (INIT => X"E4")
  1146. --synthesis translate_on
  1147. port map( I0 => instruction(13),
  1148. I1 => in_port(i),
  1149. I2 => store_data(i),
  1150. O => input_group(i));
  1151. shift_in_muxf5: MUXF5
  1152. port map( I1 => input_group(i),
  1153. I0 => alu_group(i),
  1154. S => sel_group,
  1155. O => alu_result(i) );
  1156. end generate alu_mux_loop;
  1157. --
  1158. ------------------------------------------------------------------------------------
  1159. --
  1160. -- Read and Write Strobes
  1161. --
  1162. ------------------------------------------------------------------------------------
  1163. --
  1164. io_decode_lut: LUT4
  1165. --synthesis translate_off
  1166. generic map (INIT => X"0010")
  1167. --synthesis translate_on
  1168. port map( I0 => active_interrupt,
  1169. I1 => instruction(13),
  1170. I2 => instruction(14),
  1171. I3 => instruction(16),
  1172. O => io_initial_decode );
  1173. write_active_lut: LUT4
  1174. --synthesis translate_off
  1175. generic map (INIT => X"4000")
  1176. --synthesis translate_on
  1177. port map( I0 => t_state,
  1178. I1 => instruction(15),
  1179. I2 => instruction(17),
  1180. I3 => io_initial_decode,
  1181. O => write_active );
  1182. write_strobe_flop: FDR
  1183. port map ( D => write_active,
  1184. Q => write_strobe,
  1185. R => internal_reset,
  1186. C => clk);
  1187. read_active_lut: LUT4
  1188. --synthesis translate_off
  1189. generic map (INIT => X"0100")
  1190. --synthesis translate_on
  1191. port map( I0 => t_state,
  1192. I1 => instruction(15),
  1193. I2 => instruction(17),
  1194. I3 => io_initial_decode,
  1195. O => read_active );
  1196. read_strobe_flop: FDR
  1197. port map ( D => read_active,
  1198. Q => read_strobe,
  1199. R => internal_reset,
  1200. C => clk);
  1201. --
  1202. ------------------------------------------------------------------------------------
  1203. --
  1204. -- Program CALL/RETURN stack
  1205. --
  1206. -- Provided the counter and memory for a 32 deep stack supporting nested
  1207. -- subroutine calls to a depth of 31 levels.
  1208. --
  1209. ------------------------------------------------------------------------------------
  1210. --
  1211. -- Stack memory is 32 locations of 10-bit single port.
  1212. stack_ram_inv: INV -- Inverter should be implemented in the WE to RAM
  1213. port map( I => t_state,
  1214. O => stack_write_enable);
  1215. stack_ram_loop: for i in 0 to 9 generate
  1216. --
  1217. -- Attribute to define RAM contents during implementation
  1218. -- The information is repeated in the generic map for functional simulation
  1219. --
  1220. attribute INIT : string;
  1221. attribute INIT of stack_bit : label is "00000000";
  1222. --
  1223. begin
  1224. stack_bit: RAM32X1S
  1225. --synthesis translate_off
  1226. generic map(INIT => X"00000000")
  1227. --synthesis translate_on
  1228. port map ( D => pc(i),
  1229. WE => stack_write_enable,
  1230. WCLK => clk,
  1231. A0 => stack_address(0),
  1232. A1 => stack_address(1),
  1233. A2 => stack_address(2),
  1234. A3 => stack_address(3),
  1235. A4 => stack_address(4),
  1236. O => stack_ram_data(i));
  1237. stack_flop: FD
  1238. port map ( D => stack_ram_data(i),
  1239. Q => stack_pop_data(i),
  1240. C => clk);
  1241. end generate stack_ram_loop;
  1242. -- Stack address pointer is a 5-bit counter
  1243. stack_count_inv: INV -- Inverter should be implemented in the CE to the flip-flops
  1244. port map( I => active_interrupt,
  1245. O => not_active_interrupt);
  1246. stack_count_loop: for i in 0 to 4 generate
  1247. begin
  1248. register_bit: FDRE
  1249. port map ( D => next_stack_address(i),
  1250. Q => stack_address(i),
  1251. R => internal_reset,
  1252. CE => not_active_interrupt,
  1253. C => clk);
  1254. lsb_stack_count: if i=0 generate
  1255. --
  1256. -- Attribute to define LUT contents during implementation
  1257. -- The information is repeated in the generic map for functional simulation
  1258. --
  1259. attribute INIT : string;
  1260. attribute INIT of count_lut : label is "6555";
  1261. --
  1262. begin
  1263. count_lut: LUT4
  1264. --synthesis translate_off
  1265. generic map (INIT => X"6555")
  1266. --synthesis translate_on
  1267. port map( I0 => stack_address(i),
  1268. I1 => t_state,
  1269. I2 => valid_to_move,
  1270. I3 => push_or_pop_type,
  1271. O => half_stack_address(i) );
  1272. count_muxcy: MUXCY
  1273. port map( DI => stack_address(i),
  1274. CI => '0',
  1275. S => half_stack_address(i),
  1276. O => stack_address_carry(i));
  1277. count_xor: XORCY
  1278. port map( LI => half_stack_address(i),
  1279. CI => '0',
  1280. O => next_stack_address(i));
  1281. end generate lsb_stack_count;
  1282. mid_stack_count: if i>0 and i<4 generate
  1283. --
  1284. -- Attribute to define LUT contents during implementation
  1285. -- The information is repeated in the generic map for functional simulation
  1286. --
  1287. attribute INIT : string;
  1288. attribute INIT of count_lut : label is "A999";
  1289. --
  1290. begin
  1291. count_lut: LUT4
  1292. --synthesis translate_off
  1293. generic map (INIT => X"A999")
  1294. --synthesis translate_on
  1295. port map( I0 => stack_address(i),
  1296. I1 => t_state,
  1297. I2 => valid_to_move,
  1298. I3 => call_type,
  1299. O => half_stack_address(i) );
  1300. count_muxcy: MUXCY
  1301. port map( DI => stack_address(i),
  1302. CI => stack_address_carry(i-1),
  1303. S => half_stack_address(i),
  1304. O => stack_address_carry(i));
  1305. count_xor: XORCY
  1306. port map( LI => half_stack_address(i),
  1307. CI => stack_address_carry(i-1),
  1308. O => next_stack_address(i));
  1309. end generate mid_stack_count;
  1310. msb_stack_count: if i=4 generate
  1311. --
  1312. -- Attribute to define LUT contents during implementation
  1313. -- The information is repeated in the generic map for functional simulation
  1314. --
  1315. attribute INIT : string;
  1316. attribute INIT of count_lut : label is "A999";
  1317. --
  1318. begin
  1319. count_lut: LUT4
  1320. --synthesis translate_off
  1321. generic map (INIT => X"A999")
  1322. --synthesis translate_on
  1323. port map( I0 => stack_address(i),
  1324. I1 => t_state,
  1325. I2 => valid_to_move,
  1326. I3 => call_type,
  1327. O => half_stack_address(i) );
  1328. count_xor: XORCY
  1329. port map( LI => half_stack_address(i),
  1330. CI => stack_address_carry(i-1),
  1331. O => next_stack_address(i));
  1332. end generate msb_stack_count;
  1333. end generate stack_count_loop;
  1334. --
  1335. ------------------------------------------------------------------------------------
  1336. --
  1337. -- End of description for KCPSM3 macro.
  1338. --
  1339. ------------------------------------------------------------------------------------
  1340. --
  1341. --**********************************************************************************
  1342. -- Code for simulation purposes only after this line
  1343. --**********************************************************************************
  1344. --
  1345. ------------------------------------------------------------------------------------
  1346. --
  1347. -- Code for simulation.
  1348. --
  1349. -- Disassemble the instruction codes to form a text string variable for display.
  1350. -- Determine status of reset and flags and present in the form of a text string.
  1351. -- Provide a local variables to simulate the contents of each register and scratch
  1352. -- pad memory location.
  1353. --
  1354. ------------------------------------------------------------------------------------
  1355. --
  1356. --All of this section is ignored during synthesis.
  1357. --synthesis translate off
  1358. simulation: process (clk, instruction)
  1359. --
  1360. --complete instruction decode
  1361. --
  1362. variable kcpsm3_opcode : string(1 to 19);
  1363. --
  1364. --Status of flags and processor
  1365. --
  1366. variable kcpsm3_status : string(1 to 13):= "NZ, NC, Reset";
  1367. --
  1368. --contents of each register
  1369. --
  1370. variable s0_contents : std_logic_vector(7 downto 0):=X"00";
  1371. variable s1_contents : std_logic_vector(7 downto 0):=X"00";
  1372. variable s2_contents : std_logic_vector(7 downto 0):=X"00";
  1373. variable s3_contents : std_logic_vector(7 downto 0):=X"00";
  1374. variable s4_contents : std_logic_vector(7 downto 0):=X"00";
  1375. variable s5_contents : std_logic_vector(7 downto 0):=X"00";
  1376. variable s6_contents : std_logic_vector(7 downto 0):=X"00";
  1377. variable s7_contents : std_logic_vector(7 downto 0):=X"00";
  1378. variable s8_contents : std_logic_vector(7 downto 0):=X"00";
  1379. variable s9_contents : std_logic_vector(7 downto 0):=X"00";
  1380. variable sa_contents : std_logic_vector(7 downto 0):=X"00";
  1381. variable sb_contents : std_logic_vector(7 downto 0):=X"00";
  1382. variable sc_contents : std_logic_vector(7 downto 0):=X"00";
  1383. variable sd_contents : std_logic_vector(7 downto 0):=X"00";
  1384. variable se_contents : std_logic_vector(7 downto 0):=X"00";
  1385. variable sf_contents : std_logic_vector(7 downto 0):=X"00";
  1386. --
  1387. --contents of each scratch pad memory location
  1388. --
  1389. variable spm00_contents : std_logic_vector(7 downto 0):=X"00";
  1390. variable spm01_contents : std_logic_vector(7 downto 0):=X"00";
  1391. variable spm02_contents : std_logic_vector(7 downto 0):=X"00";
  1392. variable spm03_contents : std_logic_vector(7 downto 0):=X"00";
  1393. variable spm04_contents : std_logic_vector(7 downto 0):=X"00";
  1394. variable spm05_contents : std_logic_vector(7 downto 0):=X"00";
  1395. variable spm06_contents : std_logic_vector(7 downto 0):=X"00";
  1396. variable spm07_contents : std_logic_vector(7 downto 0):=X"00";
  1397. variable spm08_contents : std_logic_vector(7 downto 0):=X"00";
  1398. variable spm09_contents : std_logic_vector(7 downto 0):=X"00";
  1399. variable spm0a_contents : std_logic_vector(7 downto 0):=X"00";
  1400. variable spm0b_contents : std_logic_vector(7 downto 0):=X"00";
  1401. variable spm0c_contents : std_logic_vector(7 downto 0):=X"00";
  1402. variable spm0d_contents : std_logic_vector(7 downto 0):=X"00";
  1403. variable spm0e_contents : std_logic_vector(7 downto 0):=X"00";
  1404. variable spm0f_contents : std_logic_vector(7 downto 0):=X"00";
  1405. variable spm10_contents : std_logic_vector(7 downto 0):=X"00";
  1406. variable spm11_contents : std_logic_vector(7 downto 0):=X"00";
  1407. variable spm12_contents : std_logic_vector(7 downto 0):=X"00";
  1408. variable spm13_contents : std_logic_vector(7 downto 0):=X"00";
  1409. variable spm14_contents : std_logic_vector(7 downto 0):=X"00";
  1410. variable spm15_contents : std_logic_vector(7 downto 0):=X"00";
  1411. variable spm16_contents : std_logic_vector(7 downto 0):=X"00";
  1412. variable spm17_contents : std_logic_vector(7 downto 0):=X"00";
  1413. variable spm18_contents : std_logic_vector(7 downto 0):=X"00";
  1414. variable spm19_contents : std_logic_vector(7 downto 0):=X"00";
  1415. variable spm1a_contents : std_logic_vector(7 downto 0):=X"00";
  1416. variable spm1b_contents : std_logic_vector(7 downto 0):=X"00";
  1417. variable spm1c_contents : std_logic_vector(7 downto 0):=X"00";
  1418. variable spm1d_contents : std_logic_vector(7 downto 0):=X"00";
  1419. variable spm1e_contents : std_logic_vector(7 downto 0):=X"00";
  1420. variable spm1f_contents : std_logic_vector(7 downto 0):=X"00";
  1421. variable spm20_contents : std_logic_vector(7 downto 0):=X"00";
  1422. variable spm21_contents : std_logic_vector(7 downto 0):=X"00";
  1423. variable spm22_contents : std_logic_vector(7 downto 0):=X"00";
  1424. variable spm23_contents : std_logic_vector(7 downto 0):=X"00";
  1425. variable spm24_contents : std_logic_vector(7 downto 0):=X"00";
  1426. variable spm25_contents : std_logic_vector(7 downto 0):=X"00";
  1427. variable spm26_contents : std_logic_vector(7 downto 0):=X"00";
  1428. variable spm27_contents : std_logic_vector(7 downto 0):=X"00";
  1429. variable spm28_contents : std_logic_vector(7 downto 0):=X"00";
  1430. variable spm29_contents : std_logic_vector(7 downto 0):=X"00";
  1431. variable spm2a_contents : std_logic_vector(7 downto 0):=X"00";
  1432. variable spm2b_contents : std_logic_vector(7 downto 0):=X"00";
  1433. variable spm2c_contents : std_logic_vector(7 downto 0):=X"00";
  1434. variable spm2d_contents : std_logic_vector(7 downto 0):=X"00";
  1435. variable spm2e_contents : std_logic_vector(7 downto 0):=X"00";
  1436. variable spm2f_contents : std_logic_vector(7 downto 0):=X"00";
  1437. variable spm30_contents : std_logic_vector(7 downto 0):=X"00";
  1438. variable spm31_contents : std_logic_vector(7 downto 0):=X"00";
  1439. variable spm32_contents : std_logic_vector(7 downto 0):=X"00";
  1440. variable spm33_contents : std_logic_vector(7 downto 0):=X"00";
  1441. variable spm34_contents : std_logic_vector(7 downto 0):=X"00";
  1442. variable spm35_contents : std_logic_vector(7 downto 0):=X"00";
  1443. variable spm36_contents : std_logic_vector(7 downto 0):=X"00";
  1444. variable spm37_contents : std_logic_vector(7 downto 0):=X"00";
  1445. variable spm38_contents : std_logic_vector(7 downto 0):=X"00";
  1446. variable spm39_contents : std_logic_vector(7 downto 0):=X"00";
  1447. variable spm3a_contents : std_logic_vector(7 downto 0):=X"00";
  1448. variable spm3b_contents : std_logic_vector(7 downto 0):=X"00";
  1449. variable spm3c_contents : std_logic_vector(7 downto 0):=X"00";
  1450. variable spm3d_contents : std_logic_vector(7 downto 0):=X"00";
  1451. variable spm3e_contents : std_logic_vector(7 downto 0):=X"00";
  1452. variable spm3f_contents : std_logic_vector(7 downto 0):=X"00";
  1453. --
  1454. --temporary variables
  1455. --
  1456. variable sx_decode : string(1 to 2); --sX register specification
  1457. variable sy_decode : string(1 to 2); --sY register specification
  1458. variable kk_decode : string(1 to 2); --constant value specification
  1459. variable aaa_decode : string(1 to 3); --address specification
  1460. --
  1461. --------------------------------------------------------------------------------
  1462. --
  1463. -- Function to convert 4-bit binary nibble to hexadecimal character
  1464. --
  1465. --------------------------------------------------------------------------------
  1466. --
  1467. function hexcharacter (nibble: std_logic_vector(3 downto 0))
  1468. return character is
  1469. variable hex: character;
  1470. begin
  1471. case nibble is
  1472. when "0000" => hex := '0';
  1473. when "0001" => hex := '1';
  1474. when "0010" => hex := '2';
  1475. when "0011" => hex := '3';
  1476. when "0100" => hex := '4';
  1477. when "0101" => hex := '5';
  1478. when "0110" => hex := '6';
  1479. when "0111" => hex := '7';
  1480. when "1000" => hex := '8';
  1481. when "1001" => hex := '9';
  1482. when "1010" => hex := 'A';
  1483. when "1011" => hex := 'B';
  1484. when "1100" => hex := 'C';
  1485. when "1101" => hex := 'D';
  1486. when "1110" => hex := 'E';
  1487. when "1111" => hex := 'F';
  1488. when others => hex := 'x';
  1489. end case;
  1490. return hex;
  1491. end hexcharacter;
  1492. --
  1493. --------------------------------------------------------------------------------
  1494. --
  1495. begin
  1496. -- decode first register
  1497. sx_decode(1) := 's';
  1498. sx_decode(2) := hexcharacter(instruction(11 downto 8));
  1499. -- decode second register
  1500. sy_decode(1) := 's';
  1501. sy_decode(2) := hexcharacter(instruction(7 downto 4));
  1502. -- decode constant value
  1503. kk_decode(1) := hexcharacter(instruction(7 downto 4));
  1504. kk_decode(2) := hexcharacter(instruction(3 downto 0));
  1505. -- address value
  1506. aaa_decode(1) := hexcharacter("00" & instruction(9 downto 8));
  1507. aaa_decode(2) := hexcharacter(instruction(7 downto 4));
  1508. aaa_decode(3) := hexcharacter(instruction(3 downto 0));
  1509. -- decode instruction
  1510. case instruction(17 downto 12) is
  1511. when "000000" => kcpsm3_opcode := "LOAD " & sx_decode & ',' & kk_decode & " ";
  1512. when "000001" => kcpsm3_opcode := "LOAD " & sx_decode & ',' & sy_decode & " ";
  1513. when "001010" => kcpsm3_opcode := "AND " & sx_decode & ',' & kk_decode & " ";
  1514. when "001011" => kcpsm3_opcode := "AND " & sx_decode & ',' & sy_decode & " ";
  1515. when "001100" => kcpsm3_opcode := "OR " & sx_decode & ',' & kk_decode & " ";
  1516. when "001101" => kcpsm3_opcode := "OR " & sx_decode & ',' & sy_decode & " ";
  1517. when "001110" => kcpsm3_opcode := "XOR " & sx_decode & ',' & kk_decode & " ";
  1518. when "001111" => kcpsm3_opcode := "XOR " & sx_decode & ',' & sy_decode & " ";
  1519. when "010010" => kcpsm3_opcode := "TEST " & sx_decode & ',' & kk_decode & " ";
  1520. when "010011" => kcpsm3_opcode := "TEST " & sx_decode & ',' & sy_decode & " ";
  1521. when "011000" => kcpsm3_opcode := "ADD " & sx_decode & ',' & kk_decode & " ";
  1522. when "011001" => kcpsm3_opcode := "ADD " & sx_decode & ',' & sy_decode & " ";
  1523. when "011010" => kcpsm3_opcode := "ADDCY " & sx_decode & ',' & kk_decode & " ";
  1524. when "011011" => kcpsm3_opcode := "ADDCY " & sx_decode & ',' & sy_decode & " ";
  1525. when "011100" => kcpsm3_opcode := "SUB " & sx_decode & ',' & kk_decode & " ";
  1526. when "011101" => kcpsm3_opcode := "SUB " & sx_decode & ',' & sy_decode & " ";
  1527. when "011110" => kcpsm3_opcode := "SUBCY " & sx_decode & ',' & kk_decode & " ";
  1528. when "011111" => kcpsm3_opcode := "SUBCY " & sx_decode & ',' & sy_decode & " ";
  1529. when "010100" => kcpsm3_opcode := "COMPARE " & sx_decode & ',' & kk_decode & " ";
  1530. when "010101" => kcpsm3_opcode := "COMPARE " & sx_decode & ',' & sy_decode & " ";
  1531. when "100000" =>
  1532. case instruction(3 downto 0) is
  1533. when "0110" => kcpsm3_opcode := "SL0 " & sx_decode & " ";
  1534. when "0111" => kcpsm3_opcode := "SL1 " & sx_decode & " ";
  1535. when "0100" => kcpsm3_opcode := "SLX " & sx_decode & " ";
  1536. when "0000" => kcpsm3_opcode := "SLA " & sx_decode & " ";
  1537. when "0010" => kcpsm3_opcode := "RL " & sx_decode & " ";
  1538. when "1110" => kcpsm3_opcode := "SR0 " & sx_decode & " ";
  1539. when "1111" => kcpsm3_opcode := "SR1 " & sx_decode & " ";
  1540. when "1010" => kcpsm3_opcode := "SRX " & sx_decode & " ";
  1541. when "1000" => kcpsm3_opcode := "SRA " & sx_decode & " ";
  1542. when "1100" => kcpsm3_opcode := "RR " & sx_decode & " ";
  1543. when others => kcpsm3_opcode := "Invalid Instruction";
  1544. end case;
  1545. when "101100" => kcpsm3_opcode := "OUTPUT " & sx_decode & ',' & kk_decode & " ";
  1546. when "101101" => kcpsm3_opcode := "OUTPUT " & sx_decode & ",(" & sy_decode & ") ";
  1547. when "000100" => kcpsm3_opcode := "INPUT " & sx_decode & ',' & kk_decode & " ";
  1548. when "000101" => kcpsm3_opcode := "INPUT " & sx_decode & ",(" & sy_decode & ") ";
  1549. when "101110" => kcpsm3_opcode := "STORE " & sx_decode & ',' & kk_decode & " ";
  1550. when "101111" => kcpsm3_opcode := "STORE " & sx_decode & ",(" & sy_decode & ") ";
  1551. when "000110" => kcpsm3_opcode := "FETCH " & sx_decode & ',' & kk_decode & " ";
  1552. when "000111" => kcpsm3_opcode := "FETCH " & sx_decode & ",(" & sy_decode & ") ";
  1553. when "110100" => kcpsm3_opcode := "JUMP " & aaa_decode & " ";
  1554. when "110101" =>
  1555. case instruction(11 downto 10) is
  1556. when "00" => kcpsm3_opcode := "JUMP Z," & aaa_decode & " ";
  1557. when "01" => kcpsm3_opcode := "JUMP NZ," & aaa_decode & " ";
  1558. when "10" => kcpsm3_opcode := "JUMP C," & aaa_decode & " ";
  1559. when "11" => kcpsm3_opcode := "JUMP NC," & aaa_decode & " ";
  1560. when others => kcpsm3_opcode := "Invalid Instruction";
  1561. end case;
  1562. when "110000" => kcpsm3_opcode := "CALL " & aaa_decode & " ";
  1563. when "110001" =>
  1564. case instruction(11 downto 10) is
  1565. when "00" => kcpsm3_opcode := "CALL Z," & aaa_decode & " ";
  1566. when "01" => kcpsm3_opcode := "CALL NZ," & aaa_decode & " ";
  1567. when "10" => kcpsm3_opcode := "CALL C," & aaa_decode & " ";
  1568. when "11" => kcpsm3_opcode := "CALL NC," & aaa_decode & " ";
  1569. when others => kcpsm3_opcode := "Invalid Instruction";
  1570. end case;
  1571. when "101010" => kcpsm3_opcode := "RETURN ";
  1572. when "101011" =>
  1573. case instruction(11 downto 10) is
  1574. when "00" => kcpsm3_opcode := "RETURN Z ";
  1575. when "01" => kcpsm3_opcode := "RETURN NZ ";
  1576. when "10" => kcpsm3_opcode := "RETURN C ";
  1577. when "11" => kcpsm3_opcode := "RETURN NC ";
  1578. when others => kcpsm3_opcode := "Invalid Instruction";
  1579. end case;
  1580. when "111000" =>
  1581. case instruction(0) is
  1582. when '0' => kcpsm3_opcode := "RETURNI DISABLE ";
  1583. when '1' => kcpsm3_opcode := "RETURNI ENABLE ";
  1584. when others => kcpsm3_opcode := "Invalid Instruction";
  1585. end case;
  1586. when "111100" =>
  1587. case instruction(0) is
  1588. when '0' => kcpsm3_opcode := "DISABLE INTERRUPT ";
  1589. when '1' => kcpsm3_opcode := "ENABLE INTERRUPT ";
  1590. when others => kcpsm3_opcode := "Invalid Instruction";
  1591. end case;
  1592. when others => kcpsm3_opcode := "Invalid Instruction";
  1593. end case;
  1594. if clk'event and clk='1' then
  1595. --reset and flag status information
  1596. if reset='1' or reset_delay='1' then
  1597. kcpsm3_status := "NZ, NC, Reset";
  1598. else
  1599. kcpsm3_status(7 to 13) := " ";
  1600. if flag_enable='1' then
  1601. if zero_carry='1' then
  1602. kcpsm3_status(1 to 4) := " Z, ";
  1603. else
  1604. kcpsm3_status(1 to 4) := "NZ, ";
  1605. end if;
  1606. if sel_carry(3)='1' then
  1607. kcpsm3_status(5 to 6) := " C";
  1608. else
  1609. kcpsm3_status(5 to 6) := "NC";
  1610. end if;
  1611. end if;
  1612. end if;
  1613. --simulation of register contents
  1614. if register_enable='1' then
  1615. case instruction(11 downto 8) is
  1616. when "0000" => s0_contents := alu_result;
  1617. when "0001" => s1_contents := alu_result;
  1618. when "0010" => s2_contents := alu_result;
  1619. when "0011" => s3_contents := alu_result;
  1620. when "0100" => s4_contents := alu_result;
  1621. when "0101" => s5_contents := alu_result;
  1622. when "0110" => s6_contents := alu_result;
  1623. when "0111" => s7_contents := alu_result;
  1624. when "1000" => s8_contents := alu_result;
  1625. when "1001" => s9_contents := alu_result;
  1626. when "1010" => sa_contents := alu_result;
  1627. when "1011" => sb_contents := alu_result;
  1628. when "1100" => sc_contents := alu_result;
  1629. when "1101" => sd_contents := alu_result;
  1630. when "1110" => se_contents := alu_result;
  1631. when "1111" => sf_contents := alu_result;
  1632. when others => null;
  1633. end case;
  1634. end if;
  1635. --simulation of scratch pad memory contents
  1636. if memory_enable='1' then
  1637. case second_operand(5 downto 0) is
  1638. when "000000" => spm00_contents := sx;
  1639. when "000001" => spm01_contents := sx;
  1640. when "000010" => spm02_contents := sx;
  1641. when "000011" => spm03_contents := sx;
  1642. when "000100" => spm04_contents := sx;
  1643. when "000101" => spm05_contents := sx;
  1644. when "000110" => spm06_contents := sx;
  1645. when "000111" => spm07_contents := sx;
  1646. when "001000" => spm08_contents := sx;
  1647. when "001001" => spm09_contents := sx;
  1648. when "001010" => spm0a_contents := sx;
  1649. when "001011" => spm0b_contents := sx;
  1650. when "001100" => spm0c_contents := sx;
  1651. when "001101" => spm0d_contents := sx;
  1652. when "001110" => spm0e_contents := sx;
  1653. when "001111" => spm0f_contents := sx;
  1654. when "010000" => spm10_contents := sx;
  1655. when "010001" => spm11_contents := sx;
  1656. when "010010" => spm12_contents := sx;
  1657. when "010011" => spm13_contents := sx;
  1658. when "010100" => spm14_contents := sx;
  1659. when "010101" => spm15_contents := sx;
  1660. when "010110" => spm16_contents := sx;
  1661. when "010111" => spm17_contents := sx;
  1662. when "011000" => spm18_contents := sx;
  1663. when "011001" => spm19_contents := sx;
  1664. when "011010" => spm1a_contents := sx;
  1665. when "011011" => spm1b_contents := sx;
  1666. when "011100" => spm1c_contents := sx;
  1667. when "011101" => spm1d_contents := sx;
  1668. when "011110" => spm1e_contents := sx;
  1669. when "011111" => spm1f_contents := sx;
  1670. when "100000" => spm20_contents := sx;
  1671. when "100001" => spm21_contents := sx;
  1672. when "100010" => spm22_contents := sx;
  1673. when "100011" => spm23_contents := sx;
  1674. when "100100" => spm24_contents := sx;
  1675. when "100101" => spm25_contents := sx;
  1676. when "100110" => spm26_contents := sx;
  1677. when "100111" => spm27_contents := sx;
  1678. when "101000" => spm28_contents := sx;
  1679. when "101001" => spm29_contents := sx;
  1680. when "101010" => spm2a_contents := sx;
  1681. when "101011" => spm2b_contents := sx;
  1682. when "101100" => spm2c_contents := sx;
  1683. when "101101" => spm2d_contents := sx;
  1684. when "101110" => spm2e_contents := sx;
  1685. when "101111" => spm2f_contents := sx;
  1686. when "110000" => spm30_contents := sx;
  1687. when "110001" => spm31_contents := sx;
  1688. when "110010" => spm32_contents := sx;
  1689. when "110011" => spm33_contents := sx;
  1690. when "110100" => spm34_contents := sx;
  1691. when "110101" => spm35_contents := sx;
  1692. when "110110" => spm36_contents := sx;
  1693. when "110111" => spm37_contents := sx;
  1694. when "111000" => spm38_contents := sx;
  1695. when "111001" => spm39_contents := sx;
  1696. when "111010" => spm3a_contents := sx;
  1697. when "111011" => spm3b_contents := sx;
  1698. when "111100" => spm3c_contents := sx;
  1699. when "111101" => spm3d_contents := sx;
  1700. when "111110" => spm3e_contents := sx;
  1701. when "111111" => spm3f_contents := sx;
  1702. when others => null;
  1703. end case;
  1704. end if;
  1705. end if;
  1706. end process simulation;
  1707. --synthesis translate on
  1708. --
  1709. --**********************************************************************************
  1710. -- End of simulation code.
  1711. --**********************************************************************************
  1712. --
  1713. --
  1714. end low_level_definition;
  1715. --
  1716. ------------------------------------------------------------------------------------
  1717. --
  1718. -- END OF FILE KCPSM3.VHD
  1719. --
  1720. ------------------------------------------------------------------------------------