You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

353 lines
10KB

  1. -- Constant (K) Compact UART Receiver
  2. --
  3. -- Version : 1.10
  4. -- Version Date : 3rd December 2003
  5. -- Reason : '--translate' directives changed to '--synthesis translate' directives
  6. --
  7. -- Version : 1.00
  8. -- Version Date : 16th October 2002
  9. --
  10. -- Start of design entry : 16th October 2002
  11. --
  12. -- Ken Chapman
  13. -- Xilinx Ltd
  14. -- Benchmark House
  15. -- 203 Brooklands Road
  16. -- Weybridge
  17. -- Surrey KT13 ORH
  18. -- United Kingdom
  19. --
  20. -- chapman@xilinx.com
  21. --
  22. ------------------------------------------------------------------------------------
  23. --
  24. -- NOTICE:
  25. --
  26. -- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other
  27. -- third parties. By providing this core as one possible implementation of a standard,
  28. -- Xilinx is making no representation that the provided implementation of this standard
  29. -- is free from any claims of infringement by any third party. Xilinx expressly
  30. -- disclaims any warranty with respect to the adequacy of the implementation, including
  31. -- but not limited to any warranty or representation that the implementation is free
  32. -- from claims of any third party. Futhermore, Xilinx is providing this core as a
  33. -- courtesy to you and suggests that you contact all third parties to obtain the
  34. -- necessary rights to use this implementation.
  35. --
  36. ------------------------------------------------------------------------------------
  37. --
  38. -- Library declarations
  39. --
  40. -- The Unisim Library is used to define Xilinx primitives. It is also used during
  41. -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
  42. --
  43. library IEEE;
  44. use IEEE.STD_LOGIC_1164.ALL;
  45. use IEEE.STD_LOGIC_ARITH.ALL;
  46. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  47. library unisim;
  48. use unisim.vcomponents.all;
  49. --
  50. ------------------------------------------------------------------------------------
  51. --
  52. -- Main Entity for KCUART_RX
  53. --
  54. entity kcuart_rx is
  55. Port ( serial_in : in std_logic;
  56. data_out : out std_logic_vector(7 downto 0);
  57. data_strobe : out std_logic;
  58. en_16_x_baud : in std_logic;
  59. clk : in std_logic);
  60. end kcuart_rx;
  61. --
  62. ------------------------------------------------------------------------------------
  63. --
  64. -- Start of Main Architecture for KCUART_RX
  65. --
  66. architecture low_level_definition of kcuart_rx is
  67. --
  68. ------------------------------------------------------------------------------------
  69. --
  70. ------------------------------------------------------------------------------------
  71. --
  72. -- Signals used in KCUART_RX
  73. --
  74. ------------------------------------------------------------------------------------
  75. --
  76. signal sync_serial : std_logic;
  77. signal stop_bit : std_logic;
  78. signal data_int : std_logic_vector(7 downto 0);
  79. signal data_delay : std_logic_vector(7 downto 0);
  80. signal start_delay : std_logic;
  81. signal start_bit : std_logic;
  82. signal edge_delay : std_logic;
  83. signal start_edge : std_logic;
  84. signal decode_valid_char : std_logic;
  85. signal valid_char : std_logic;
  86. signal decode_purge : std_logic;
  87. signal purge : std_logic;
  88. signal valid_srl_delay : std_logic_vector(8 downto 0);
  89. signal valid_reg_delay : std_logic_vector(8 downto 0);
  90. signal decode_data_strobe : std_logic;
  91. --
  92. --
  93. ------------------------------------------------------------------------------------
  94. --
  95. -- Attributes to define LUT contents during implementation
  96. -- The information is repeated in the generic map for functional simulation--
  97. --
  98. ------------------------------------------------------------------------------------
  99. --
  100. attribute INIT : string;
  101. attribute INIT of start_srl : label is "0000";
  102. attribute INIT of edge_srl : label is "0000";
  103. attribute INIT of valid_lut : label is "0040";
  104. attribute INIT of purge_lut : label is "54";
  105. attribute INIT of strobe_lut : label is "8";
  106. --
  107. ------------------------------------------------------------------------------------
  108. --
  109. -- Start of KCUART_RX circuit description
  110. --
  111. ------------------------------------------------------------------------------------
  112. --
  113. begin
  114. -- Synchronise input serial data to system clock
  115. sync_reg: FD
  116. port map ( D => serial_in,
  117. Q => sync_serial,
  118. C => clk);
  119. stop_reg: FD
  120. port map ( D => sync_serial,
  121. Q => stop_bit,
  122. C => clk);
  123. -- Data delays to capture data at 16 time baud rate
  124. -- Each SRL16E is followed by a flip-flop for best timing
  125. data_loop: for i in 0 to 7 generate
  126. begin
  127. lsbs: if i<7 generate
  128. --
  129. attribute INIT : string;
  130. attribute INIT of delay15_srl : label is "0000";
  131. --
  132. begin
  133. delay15_srl: SRL16E
  134. --synthesis translate_off
  135. generic map (INIT => X"0000")
  136. --synthesis translate_on
  137. port map( D => data_int(i+1),
  138. CE => en_16_x_baud,
  139. CLK => clk,
  140. A0 => '0',
  141. A1 => '1',
  142. A2 => '1',
  143. A3 => '1',
  144. Q => data_delay(i) );
  145. end generate lsbs;
  146. msb: if i=7 generate
  147. --
  148. attribute INIT : string;
  149. attribute INIT of delay15_srl : label is "0000";
  150. --
  151. begin
  152. delay15_srl: SRL16E
  153. --synthesis translate_off
  154. generic map (INIT => X"0000")
  155. --synthesis translate_on
  156. port map( D => stop_bit,
  157. CE => en_16_x_baud,
  158. CLK => clk,
  159. A0 => '0',
  160. A1 => '1',
  161. A2 => '1',
  162. A3 => '1',
  163. Q => data_delay(i) );
  164. end generate msb;
  165. data_reg: FDE
  166. port map ( D => data_delay(i),
  167. Q => data_int(i),
  168. CE => en_16_x_baud,
  169. C => clk);
  170. end generate data_loop;
  171. -- Assign internal signals to outputs
  172. data_out <= data_int;
  173. -- Data delays to capture start bit at 16 time baud rate
  174. start_srl: SRL16E
  175. --synthesis translate_off
  176. generic map (INIT => X"0000")
  177. --synthesis translate_on
  178. port map( D => data_int(0),
  179. CE => en_16_x_baud,
  180. CLK => clk,
  181. A0 => '0',
  182. A1 => '1',
  183. A2 => '1',
  184. A3 => '1',
  185. Q => start_delay );
  186. start_reg: FDE
  187. port map ( D => start_delay,
  188. Q => start_bit,
  189. CE => en_16_x_baud,
  190. C => clk);
  191. -- Data delays to capture start bit leading edge at 16 time baud rate
  192. -- Delay ensures data is captured at mid-bit position
  193. edge_srl: SRL16E
  194. --synthesis translate_off
  195. generic map (INIT => X"0000")
  196. --synthesis translate_on
  197. port map( D => start_bit,
  198. CE => en_16_x_baud,
  199. CLK => clk,
  200. A0 => '1',
  201. A1 => '0',
  202. A2 => '1',
  203. A3 => '0',
  204. Q => edge_delay );
  205. edge_reg: FDE
  206. port map ( D => edge_delay,
  207. Q => start_edge,
  208. CE => en_16_x_baud,
  209. C => clk);
  210. -- Detect a valid character
  211. valid_lut: LUT4
  212. --synthesis translate_off
  213. generic map (INIT => X"0040")
  214. --synthesis translate_on
  215. port map( I0 => purge,
  216. I1 => stop_bit,
  217. I2 => start_edge,
  218. I3 => edge_delay,
  219. O => decode_valid_char );
  220. valid_reg: FDE
  221. port map ( D => decode_valid_char,
  222. Q => valid_char,
  223. CE => en_16_x_baud,
  224. C => clk);
  225. -- Purge of data status
  226. purge_lut: LUT3
  227. --synthesis translate_off
  228. generic map (INIT => X"54")
  229. --synthesis translate_on
  230. port map( I0 => valid_reg_delay(8),
  231. I1 => valid_char,
  232. I2 => purge,
  233. O => decode_purge );
  234. purge_reg: FDE
  235. port map ( D => decode_purge,
  236. Q => purge,
  237. CE => en_16_x_baud,
  238. C => clk);
  239. -- Delay of valid_char pulse of length equivalent to the time taken
  240. -- to purge data shift register of all data which has been used.
  241. -- Requires 9x16 + 8 delays which is achieved by packing of SRL16E with
  242. -- up to 16 delays and utilising the dedicated flip flop in each stage.
  243. valid_loop: for i in 0 to 8 generate
  244. begin
  245. lsb: if i=0 generate
  246. --
  247. attribute INIT : string;
  248. attribute INIT of delay15_srl : label is "0000";
  249. --
  250. begin
  251. delay15_srl: SRL16E
  252. --synthesis translate_off
  253. generic map (INIT => X"0000")
  254. --synthesis translate_on
  255. port map( D => valid_char,
  256. CE => en_16_x_baud,
  257. CLK => clk,
  258. A0 => '0',
  259. A1 => '1',
  260. A2 => '1',
  261. A3 => '1',
  262. Q => valid_srl_delay(i) );
  263. end generate lsb;
  264. msbs: if i>0 generate
  265. --
  266. attribute INIT : string;
  267. attribute INIT of delay16_srl : label is "0000";
  268. --
  269. begin
  270. delay16_srl: SRL16E
  271. --synthesis translate_off
  272. generic map (INIT => X"0000")
  273. --synthesis translate_on
  274. port map( D => valid_reg_delay(i-1),
  275. CE => en_16_x_baud,
  276. CLK => clk,
  277. A0 => '1',
  278. A1 => '1',
  279. A2 => '1',
  280. A3 => '1',
  281. Q => valid_srl_delay(i) );
  282. end generate msbs;
  283. data_reg: FDE
  284. port map ( D => valid_srl_delay(i),
  285. Q => valid_reg_delay(i),
  286. CE => en_16_x_baud,
  287. C => clk);
  288. end generate valid_loop;
  289. -- Form data strobe
  290. strobe_lut: LUT2
  291. --synthesis translate_off
  292. generic map (INIT => X"8")
  293. --synthesis translate_on
  294. port map( I0 => valid_char,
  295. I1 => en_16_x_baud,
  296. O => decode_data_strobe );
  297. strobe_reg: FD
  298. port map ( D => decode_data_strobe,
  299. Q => data_strobe,
  300. C => clk);
  301. end low_level_definition;
  302. ------------------------------------------------------------------------------------
  303. --
  304. -- END OF FILE KCUART_RX.VHD
  305. --
  306. ------------------------------------------------------------------------------------