You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

395 lines
11KB

  1. -- Constant (K) Compact UART Transmitter
  2. --
  3. -- Version : 1.10
  4. -- Version Date : 3rd December 2003
  5. -- Reason : '--translate' directives changed to '--synthesis translate' directives
  6. --
  7. -- Version : 1.00
  8. -- Version Date : 14th October 2002
  9. --
  10. -- Start of design entry : 2nd October 2002
  11. --
  12. -- Ken Chapman
  13. -- Xilinx Ltd
  14. -- Benchmark House
  15. -- 203 Brooklands Road
  16. -- Weybridge
  17. -- Surrey KT13 ORH
  18. -- United Kingdom
  19. --
  20. -- chapman@xilinx.com
  21. --
  22. ------------------------------------------------------------------------------------
  23. --
  24. -- NOTICE:
  25. --
  26. -- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other
  27. -- third parties. By providing this core as one possible implementation of a standard,
  28. -- Xilinx is making no representation that the provided implementation of this standard
  29. -- is free from any claims of infringement by any third party. Xilinx expressly
  30. -- disclaims any warranty with respect to the adequacy of the implementation, including
  31. -- but not limited to any warranty or representation that the implementation is free
  32. -- from claims of any third party. Futhermore, Xilinx is providing this core as a
  33. -- courtesy to you and suggests that you contact all third parties to obtain the
  34. -- necessary rights to use this implementation.
  35. --
  36. ------------------------------------------------------------------------------------
  37. --
  38. -- Library declarations
  39. --
  40. -- The Unisim Library is used to define Xilinx primitives. It is also used during
  41. -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
  42. --
  43. library IEEE;
  44. use IEEE.STD_LOGIC_1164.ALL;
  45. use IEEE.STD_LOGIC_ARITH.ALL;
  46. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  47. library unisim;
  48. use unisim.vcomponents.all;
  49. --
  50. ------------------------------------------------------------------------------------
  51. --
  52. -- Main Entity for KCUART_TX
  53. --
  54. entity kcuart_tx is
  55. Port ( data_in : in std_logic_vector(7 downto 0);
  56. send_character : in std_logic;
  57. en_16_x_baud : in std_logic;
  58. serial_out : out std_logic;
  59. Tx_complete : out std_logic;
  60. clk : in std_logic);
  61. end kcuart_tx;
  62. --
  63. ------------------------------------------------------------------------------------
  64. --
  65. -- Start of Main Architecture for KCUART_TX
  66. --
  67. architecture low_level_definition of kcuart_tx is
  68. --
  69. ------------------------------------------------------------------------------------
  70. --
  71. ------------------------------------------------------------------------------------
  72. --
  73. -- Signals used in KCUART_TX
  74. --
  75. ------------------------------------------------------------------------------------
  76. --
  77. signal data_01 : std_logic;
  78. signal data_23 : std_logic;
  79. signal data_45 : std_logic;
  80. signal data_67 : std_logic;
  81. signal data_0123 : std_logic;
  82. signal data_4567 : std_logic;
  83. signal data_01234567 : std_logic;
  84. signal bit_select : std_logic_vector(2 downto 0);
  85. signal next_count : std_logic_vector(2 downto 0);
  86. signal mask_count : std_logic_vector(2 downto 0);
  87. signal mask_count_carry : std_logic_vector(2 downto 0);
  88. signal count_carry : std_logic_vector(2 downto 0);
  89. signal ready_to_start : std_logic;
  90. signal decode_Tx_start : std_logic;
  91. signal Tx_start : std_logic;
  92. signal decode_Tx_run : std_logic;
  93. signal Tx_run : std_logic;
  94. signal decode_hot_state : std_logic;
  95. signal hot_state : std_logic;
  96. signal hot_delay : std_logic;
  97. signal Tx_bit : std_logic;
  98. signal decode_Tx_stop : std_logic;
  99. signal Tx_stop : std_logic;
  100. signal decode_Tx_complete : std_logic;
  101. --
  102. --
  103. ------------------------------------------------------------------------------------
  104. --
  105. -- Attributes to define LUT contents during implementation
  106. -- The information is repeated in the generic map for functional simulation--
  107. --
  108. ------------------------------------------------------------------------------------
  109. --
  110. attribute INIT : string;
  111. attribute INIT of mux1_lut : label is "E4FF";
  112. attribute INIT of mux2_lut : label is "E4FF";
  113. attribute INIT of mux3_lut : label is "E4FF";
  114. attribute INIT of mux4_lut : label is "E4FF";
  115. attribute INIT of ready_lut : label is "10";
  116. attribute INIT of start_lut : label is "0190";
  117. attribute INIT of run_lut : label is "1540";
  118. attribute INIT of hot_state_lut : label is "94";
  119. attribute INIT of delay14_srl : label is "0000";
  120. attribute INIT of stop_lut : label is "0180";
  121. attribute INIT of complete_lut : label is "8";
  122. --
  123. ------------------------------------------------------------------------------------
  124. --
  125. -- Start of KCUART_TX circuit description
  126. --
  127. ------------------------------------------------------------------------------------
  128. --
  129. begin
  130. -- 8 to 1 multiplexer to convert parallel data to serial
  131. mux1_lut: LUT4
  132. --synthesis translate_off
  133. generic map (INIT => X"E4FF")
  134. --synthesis translate_on
  135. port map( I0 => bit_select(0),
  136. I1 => data_in(0),
  137. I2 => data_in(1),
  138. I3 => Tx_run,
  139. O => data_01 );
  140. mux2_lut: LUT4
  141. --synthesis translate_off
  142. generic map (INIT => X"E4FF")
  143. --synthesis translate_on
  144. port map( I0 => bit_select(0),
  145. I1 => data_in(2),
  146. I2 => data_in(3),
  147. I3 => Tx_run,
  148. O => data_23 );
  149. mux3_lut: LUT4
  150. --synthesis translate_off
  151. generic map (INIT => X"E4FF")
  152. --synthesis translate_on
  153. port map( I0 => bit_select(0),
  154. I1 => data_in(4),
  155. I2 => data_in(5),
  156. I3 => Tx_run,
  157. O => data_45 );
  158. mux4_lut: LUT4
  159. --synthesis translate_off
  160. generic map (INIT => X"E4FF")
  161. --synthesis translate_on
  162. port map( I0 => bit_select(0),
  163. I1 => data_in(6),
  164. I2 => data_in(7),
  165. I3 => Tx_run,
  166. O => data_67 );
  167. mux5_muxf5: MUXF5
  168. port map( I1 => data_23,
  169. I0 => data_01,
  170. S => bit_select(1),
  171. O => data_0123 );
  172. mux6_muxf5: MUXF5
  173. port map( I1 => data_67,
  174. I0 => data_45,
  175. S => bit_select(1),
  176. O => data_4567 );
  177. mux7_muxf6: MUXF6
  178. port map( I1 => data_4567,
  179. I0 => data_0123,
  180. S => bit_select(2),
  181. O => data_01234567 );
  182. -- Register serial output and force start and stop bits
  183. pipeline_serial: FDRS
  184. port map ( D => data_01234567,
  185. Q => serial_out,
  186. R => Tx_start,
  187. S => Tx_stop,
  188. C => clk);
  189. -- 3-bit counter
  190. -- Counter is clock enabled by en_16_x_baud
  191. -- Counter will be reset when 'Tx_start' is active
  192. -- Counter will increment when Tx_bit is active
  193. -- Tx_run must be active to count
  194. -- count_carry(2) indicates when terminal count (7) is reached and Tx_bit=1 (ie overflow)
  195. count_width_loop: for i in 0 to 2 generate
  196. --
  197. attribute INIT : string;
  198. attribute INIT of count_lut : label is "8";
  199. --
  200. begin
  201. register_bit: FDRE
  202. port map ( D => next_count(i),
  203. Q => bit_select(i),
  204. CE => en_16_x_baud,
  205. R => Tx_start,
  206. C => clk);
  207. count_lut: LUT2
  208. --synthesis translate_off
  209. generic map (INIT => X"8")
  210. --synthesis translate_on
  211. port map( I0 => bit_select(i),
  212. I1 => Tx_run,
  213. O => mask_count(i));
  214. mask_and: MULT_AND
  215. port map( I0 => bit_select(i),
  216. I1 => Tx_run,
  217. LO => mask_count_carry(i));
  218. lsb_count: if i=0 generate
  219. begin
  220. count_muxcy: MUXCY
  221. port map( DI => mask_count_carry(i),
  222. CI => Tx_bit,
  223. S => mask_count(i),
  224. O => count_carry(i));
  225. count_xor: XORCY
  226. port map( LI => mask_count(i),
  227. CI => Tx_bit,
  228. O => next_count(i));
  229. end generate lsb_count;
  230. upper_count: if i>0 generate
  231. begin
  232. count_muxcy: MUXCY
  233. port map( DI => mask_count_carry(i),
  234. CI => count_carry(i-1),
  235. S => mask_count(i),
  236. O => count_carry(i));
  237. count_xor: XORCY
  238. port map( LI => mask_count(i),
  239. CI => count_carry(i-1),
  240. O => next_count(i));
  241. end generate upper_count;
  242. end generate count_width_loop;
  243. -- Ready to start decode
  244. ready_lut: LUT3
  245. --synthesis translate_off
  246. generic map (INIT => X"10")
  247. --synthesis translate_on
  248. port map( I0 => Tx_run,
  249. I1 => Tx_start,
  250. I2 => send_character,
  251. O => ready_to_start );
  252. -- Start bit enable
  253. start_lut: LUT4
  254. --synthesis translate_off
  255. generic map (INIT => X"0190")
  256. --synthesis translate_on
  257. port map( I0 => Tx_bit,
  258. I1 => Tx_stop,
  259. I2 => ready_to_start,
  260. I3 => Tx_start,
  261. O => decode_Tx_start );
  262. Tx_start_reg: FDE
  263. port map ( D => decode_Tx_start,
  264. Q => Tx_start,
  265. CE => en_16_x_baud,
  266. C => clk);
  267. -- Run bit enable
  268. run_lut: LUT4
  269. --synthesis translate_off
  270. generic map (INIT => X"1540")
  271. --synthesis translate_on
  272. port map( I0 => count_carry(2),
  273. I1 => Tx_bit,
  274. I2 => Tx_start,
  275. I3 => Tx_run,
  276. O => decode_Tx_run );
  277. Tx_run_reg: FDE
  278. port map ( D => decode_Tx_run,
  279. Q => Tx_run,
  280. CE => en_16_x_baud,
  281. C => clk);
  282. -- Bit rate enable
  283. hot_state_lut: LUT3
  284. --synthesis translate_off
  285. generic map (INIT => X"94")
  286. --synthesis translate_on
  287. port map( I0 => Tx_stop,
  288. I1 => ready_to_start,
  289. I2 => Tx_bit,
  290. O => decode_hot_state );
  291. hot_state_reg: FDE
  292. port map ( D => decode_hot_state,
  293. Q => hot_state,
  294. CE => en_16_x_baud,
  295. C => clk);
  296. delay14_srl: SRL16E
  297. --synthesis translate_off
  298. generic map (INIT => X"0000")
  299. --synthesis translate_on
  300. port map( D => hot_state,
  301. CE => en_16_x_baud,
  302. CLK => clk,
  303. A0 => '1',
  304. A1 => '0',
  305. A2 => '1',
  306. A3 => '1',
  307. Q => hot_delay );
  308. Tx_bit_reg: FDE
  309. port map ( D => hot_delay,
  310. Q => Tx_bit,
  311. CE => en_16_x_baud,
  312. C => clk);
  313. -- Stop bit enable
  314. stop_lut: LUT4
  315. --synthesis translate_off
  316. generic map (INIT => X"0180")
  317. --synthesis translate_on
  318. port map( I0 => Tx_bit,
  319. I1 => Tx_run,
  320. I2 => count_carry(2),
  321. I3 => Tx_stop,
  322. O => decode_Tx_stop );
  323. Tx_stop_reg: FDE
  324. port map ( D => decode_Tx_stop,
  325. Q => Tx_stop,
  326. CE => en_16_x_baud,
  327. C => clk);
  328. -- Tx_complete strobe
  329. complete_lut: LUT2
  330. --synthesis translate_off
  331. generic map (INIT => X"8")
  332. --synthesis translate_on
  333. port map( I0 => count_carry(2),
  334. I1 => en_16_x_baud,
  335. O => decode_Tx_complete );
  336. Tx_complete_reg: FD
  337. port map ( D => decode_Tx_complete,
  338. Q => Tx_complete,
  339. C => clk);
  340. end low_level_definition;
  341. ------------------------------------------------------------------------------------
  342. --
  343. -- END OF FILE KCUART_TX.VHD
  344. --
  345. ------------------------------------------------------------------------------------