You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

425 lines
16KB

  1. -- KCPSM3 reference design
  2. -- PicoBlaze performing programming of Intel StrataFlash NOR Flash Memory.
  3. --
  4. -- Design provided and tested on the Spartan-3E Starter Kit (Revision C).
  5. --
  6. -- Ken Chapman - Xilinx Ltd - 28th March 2006.
  7. --
  8. -- The JTAG loader utility is also available for rapid program development.
  9. --
  10. -- The design is set up for a 50MHz system clock and UART communications of 115200 baud
  11. -- 8-bit, no parity, 1 stop-bit. IMPORTANT note: Soft flow control XON/XOFF is used.
  12. --
  13. ------------------------------------------------------------------------------------
  14. --
  15. -- NOTICE:
  16. --
  17. -- Copyright Xilinx, Inc. 2006. This code may be contain portions patented by other
  18. -- third parties. By providing this core as one possible implementation of a standard,
  19. -- Xilinx is making no representation that the provided implementation of this standard
  20. -- is free from any claims of infringement by any third party. Xilinx expressly
  21. -- disclaims any warranty with respect to the adequacy of the implementation, including
  22. -- but not limited to any warranty or representation that the implementation is free
  23. -- from claims of any third party. Furthermore, Xilinx is providing this core as a
  24. -- courtesy to you and suggests that you contact all third parties to obtain the
  25. -- necessary rights to use this implementation.
  26. --
  27. ------------------------------------------------------------------------------------
  28. --
  29. -- Library declarations
  30. --
  31. -- Standard IEEE libraries
  32. --
  33. library IEEE;
  34. use IEEE.STD_LOGIC_1164.ALL;
  35. use IEEE.STD_LOGIC_ARITH.ALL;
  36. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  37. --
  38. ------------------------------------------------------------------------------------
  39. --
  40. --
  41. entity parallel_flash_memory_uart_programmer is
  42. Port ( tx_female : out std_logic;
  43. rx_female : in std_logic;
  44. strataflash_oe : out std_logic;
  45. strataflash_ce : out std_logic;
  46. strataflash_we : out std_logic;
  47. strataflash_a : out std_logic_vector(20 downto 0);
  48. strataflash_d : inout std_logic_vector(7 downto 0);
  49. clk : in std_logic);
  50. end parallel_flash_memory_uart_programmer;
  51. --
  52. ------------------------------------------------------------------------------------
  53. --
  54. -- Start of test architecture
  55. --
  56. architecture Behavioral of parallel_flash_memory_uart_programmer is
  57. --
  58. ------------------------------------------------------------------------------------
  59. --
  60. -- declaration of KCPSM3
  61. --
  62. component kcpsm3
  63. Port ( address : out std_logic_vector(9 downto 0);
  64. instruction : in std_logic_vector(17 downto 0);
  65. port_id : out std_logic_vector(7 downto 0);
  66. write_strobe : out std_logic;
  67. out_port : out std_logic_vector(7 downto 0);
  68. read_strobe : out std_logic;
  69. in_port : in std_logic_vector(7 downto 0);
  70. interrupt : in std_logic;
  71. interrupt_ack : out std_logic;
  72. reset : in std_logic;
  73. clk : in std_logic);
  74. end component;
  75. --
  76. -- declaration of program ROM
  77. --
  78. component progctrl
  79. Port ( address : in std_logic_vector(9 downto 0);
  80. instruction : out std_logic_vector(17 downto 0);
  81. proc_reset : out std_logic; --JTAG Loader version
  82. clk : in std_logic);
  83. end component;
  84. --
  85. -- declaration of UART transmitter with integral 16 byte FIFO buffer
  86. -- Note this is a modified version of the standard 'uart_tx' in which
  87. -- the 'data_present' signal has also been brought out to better support
  88. -- the XON/XOFF flow control.
  89. --
  90. component uart_tx_plus
  91. Port ( data_in : in std_logic_vector(7 downto 0);
  92. write_buffer : in std_logic;
  93. reset_buffer : in std_logic;
  94. en_16_x_baud : in std_logic;
  95. serial_out : out std_logic;
  96. buffer_data_present : out std_logic;
  97. buffer_full : out std_logic;
  98. buffer_half_full : out std_logic;
  99. clk : in std_logic);
  100. end component;
  101. --
  102. -- declaration of UART Receiver with integral 16 byte FIFO buffer
  103. --
  104. component uart_rx
  105. Port ( serial_in : in std_logic;
  106. data_out : out std_logic_vector(7 downto 0);
  107. read_buffer : in std_logic;
  108. reset_buffer : in std_logic;
  109. en_16_x_baud : in std_logic;
  110. buffer_data_present : out std_logic;
  111. buffer_full : out std_logic;
  112. buffer_half_full : out std_logic;
  113. clk : in std_logic);
  114. end component;
  115. --
  116. ------------------------------------------------------------------------------------
  117. --
  118. -- Signals used to connect KCPSM3 to program ROM and I/O logic
  119. --
  120. signal address : std_logic_vector(9 downto 0);
  121. signal instruction : std_logic_vector(17 downto 0);
  122. signal port_id : std_logic_vector(7 downto 0);
  123. signal out_port : std_logic_vector(7 downto 0);
  124. signal in_port : std_logic_vector(7 downto 0);
  125. signal write_strobe : std_logic;
  126. signal read_strobe : std_logic;
  127. signal interrupt : std_logic :='0';
  128. signal interrupt_ack : std_logic;
  129. signal kcpsm3_reset : std_logic;
  130. --
  131. -- Signals for connection of peripherals
  132. --
  133. signal status_port : std_logic_vector(7 downto 0);
  134. --
  135. --
  136. -- Signals for UART connections
  137. --
  138. signal baud_count : integer range 0 to 35 :=0;
  139. signal en_16_x_baud : std_logic;
  140. signal write_to_uart : std_logic;
  141. signal tx_data_present : std_logic;
  142. signal tx_full : std_logic;
  143. signal tx_half_full : std_logic;
  144. signal read_from_uart : std_logic;
  145. signal rx_data : std_logic_vector(7 downto 0);
  146. signal rx_data_present : std_logic;
  147. signal rx_full : std_logic;
  148. signal rx_half_full : std_logic;
  149. --
  150. --
  151. -- Signals used to generate interrupt
  152. --
  153. signal previous_rx_half_full : std_logic;
  154. signal rx_half_full_event : std_logic;
  155. --
  156. --
  157. -- Signals to connect to StrataFLASH memory
  158. --
  159. signal strataflash_read : std_logic;
  160. signal write_data : std_logic_vector(7 downto 0);
  161. --
  162. ------------------------------------------------------------------------------------------------------------------------------------------------------------------------
  163. --
  164. -- Start of circuit description
  165. --
  166. begin
  167. ----------------------------------------------------------------------------------------------------------------------------------
  168. -- Set 8-bit mode of operation for StrataFLASH memory
  169. ----------------------------------------------------------------------------------------------------------------------------------
  170. --
  171. -- The StrataFLASH memory can be used in 8-bit or 16-bit modes. Since PicoBlaze is an 8-bit
  172. -- processor and the configuration from parallel flash is conducted using an 8-bit interface,
  173. -- this design forces the 8-bit data mode.
  174. --
  175. -- As a result, the 128Mbit memory is organised as 16,777,216 bytes accessed using a 24-bit address.
  176. --
  177. --
  178. --
  179. ----------------------------------------------------------------------------------------------------------------------------------
  180. -- Bidirectional data interface for StrataFLASH memory
  181. ----------------------------------------------------------------------------------------------------------------------------------
  182. --
  183. -- To read the StrataFLASH memory the output enable (OE) signal must be driven Low on the memory and
  184. -- the pins on the Spartan-3E must become inputs (i.e. the output buffers must be high impedance).
  185. --
  186. --
  187. strataflash_oe <= not(strataflash_read); --active Low output enable
  188. --
  189. strataflash_d <= write_data when (strataflash_read='0') else "ZZZZZZZZ";
  190. --
  191. ----------------------------------------------------------------------------------------------------------------------------------
  192. -- KCPSM3 and the program memory
  193. ----------------------------------------------------------------------------------------------------------------------------------
  194. --
  195. processor: kcpsm3
  196. port map( address => address,
  197. instruction => instruction,
  198. port_id => port_id,
  199. write_strobe => write_strobe,
  200. out_port => out_port,
  201. read_strobe => read_strobe,
  202. in_port => in_port,
  203. interrupt => interrupt,
  204. interrupt_ack => interrupt_ack,
  205. reset => kcpsm3_reset,
  206. clk => clk);
  207. program_rom: progctrl
  208. port map( address => address,
  209. instruction => instruction,
  210. proc_reset => kcpsm3_reset,
  211. clk => clk);
  212. --
  213. ----------------------------------------------------------------------------------------------------------------------------------
  214. -- Interrupt
  215. ----------------------------------------------------------------------------------------------------------------------------------
  216. --
  217. --
  218. -- Interrupt is used to detect when the UART receiver FIFO reaches half full and this is
  219. -- then used to send XON and XOFF flow control characters back to the PC.
  220. --
  221. -- If 'rx_half_full' goes High, an interrupt is generated and the subsequent ISR will transmit
  222. -- an XOFF character to stop the flow of new characters from the PC and allow the FIFO to start to empty.
  223. --
  224. -- If 'rx_half_full' goes Low, an interrupt is generated and the subsequent ISR will transmit
  225. -- an XON character which will allow the PC to send new characters and allow the FIFO to start to fill.
  226. --
  227. interrupt_control: process(clk)
  228. begin
  229. if clk'event and clk='1' then
  230. -- detect change in state of the 'rx_half_full' flag.
  231. previous_rx_half_full <= rx_half_full;
  232. rx_half_full_event <= previous_rx_half_full xor rx_half_full;
  233. -- processor interrupt waits for an acknowledgement
  234. if interrupt_ack='1' then
  235. interrupt <= '0';
  236. elsif rx_half_full_event='1' then
  237. interrupt <= '1';
  238. else
  239. interrupt <= interrupt;
  240. end if;
  241. end if;
  242. end process interrupt_control;
  243. --
  244. ----------------------------------------------------------------------------------------------------------------------------------
  245. -- KCPSM3 input ports
  246. ----------------------------------------------------------------------------------------------------------------------------------
  247. --
  248. --
  249. -- UART FIFO status signals to form a bus
  250. -- Also the status signal (STS) from the StrataFlash memory
  251. -- status_port <= strataflash_sts & '0' & rx_full & rx_half_full & rx_data_present & tx_full & tx_half_full & tx_data_present;
  252. status_port <= '0' & '0' & rx_full & rx_half_full & rx_data_present & tx_full & tx_half_full & tx_data_present;
  253. --
  254. -- The inputs connect via a pipelined multiplexer
  255. --
  256. input_ports: process(clk)
  257. begin
  258. if clk'event and clk='1' then
  259. case port_id(1 downto 0) is
  260. -- read status signals at address 00 hex
  261. when "00" => in_port <= status_port;
  262. -- read UART receive data at address 01 hex
  263. when "01" => in_port <= rx_data;
  264. -- read StrataFLASH memory data at address 02 hex
  265. when "10" => in_port <= strataflash_d;
  266. -- Don't care used for all other addresses to ensure minimum logic implementation
  267. when others => in_port <= "XXXXXXXX";
  268. end case;
  269. -- Form read strobe for UART receiver FIFO buffer at address 01 hex.
  270. -- The fact that the read strobe will occur after the actual data is read by
  271. -- the KCPSM3 is acceptable because it is really means 'I have read you'!
  272. if (read_strobe='1' and port_id(1 downto 0)="01") then
  273. read_from_uart <= '1';
  274. else
  275. read_from_uart <= '0';
  276. end if;
  277. end if;
  278. end process input_ports;
  279. --
  280. ----------------------------------------------------------------------------------------------------------------------------------
  281. -- KCPSM3 output ports
  282. ----------------------------------------------------------------------------------------------------------------------------------
  283. --
  284. -- adding the output registers to the processor
  285. output_ports: process(clk)
  286. begin
  287. if clk'event and clk='1' then
  288. if write_strobe='1' then
  289. -- The 24-bit address to the StrataFLASH memory requires 3 ports.
  290. -- Address [23:16] at port 80 hex
  291. if port_id(7)='1' then
  292. strataflash_a(20 downto 16) <= out_port(4 downto 0);
  293. end if;
  294. -- Address [15:8] at port 40 hex
  295. if port_id(6)='1' then
  296. strataflash_a(15 downto 8) <= out_port;
  297. end if;
  298. -- Address [7:0] at port 20 hex
  299. if port_id(5)='1' then
  300. strataflash_a(7 downto 0) <= out_port;
  301. end if;
  302. -- Data to be written to StrataFlash at port 10 hex
  303. if port_id(4)='1' then
  304. write_data <= out_port;
  305. end if;
  306. -- StrataFlash control signals at port 08 hex
  307. if port_id(3)='1' then
  308. strataflash_read <= out_port(0); --Active High and used to control data bus direction and OE
  309. strataflash_ce <= out_port(1); --Active Low StrataFLASH device enable
  310. strataflash_we <= out_port(2); --Active Low StrataFLASH write enable
  311. end if;
  312. end if;
  313. end if;
  314. end process output_ports;
  315. --
  316. -- write to UART transmitter FIFO buffer at address 04 hex.
  317. -- This is a combinatorial decode because the FIFO is the 'port register'.
  318. --
  319. write_to_uart <= '1' when (write_strobe='1' and port_id(2)='1') else '0';
  320. --
  321. ----------------------------------------------------------------------------------------------------------------------------------
  322. -- UART
  323. ----------------------------------------------------------------------------------------------------------------------------------
  324. --
  325. -- Connect the 8-bit, 1 stop-bit, no parity transmit and receive macros.
  326. -- Each contains an embedded 16-byte FIFO buffer.
  327. --
  328. transmit: uart_tx_plus
  329. port map ( data_in => out_port,
  330. write_buffer => write_to_uart,
  331. reset_buffer => '0',
  332. en_16_x_baud => en_16_x_baud,
  333. serial_out => tx_female,
  334. buffer_data_present => tx_data_present,
  335. buffer_full => tx_full,
  336. buffer_half_full => tx_half_full,
  337. clk => clk );
  338. receive: uart_rx
  339. port map ( serial_in => rx_female,
  340. data_out => rx_data,
  341. read_buffer => read_from_uart,
  342. reset_buffer => '0',
  343. en_16_x_baud => en_16_x_baud,
  344. buffer_data_present => rx_data_present,
  345. buffer_full => rx_full,
  346. buffer_half_full => rx_half_full,
  347. clk => clk );
  348. --
  349. -- Set baud rate to 115200 for the UART communications
  350. -- Requires en_16_x_baud to be 1843200Hz which is a single cycle pulse every 27 cycles at 50MHz
  351. --
  352. baud_timer: process(clk)
  353. begin
  354. if clk'event and clk='1' then
  355. if baud_count=34 then
  356. baud_count <= 0;
  357. en_16_x_baud <= '1';
  358. else
  359. baud_count <= baud_count + 1;
  360. en_16_x_baud <= '0';
  361. end if;
  362. end if;
  363. end process baud_timer;
  364. --
  365. ----------------------------------------------------------------------------------------------------------------------------------
  366. end Behavioral;
  367. ------------------------------------------------------------------------------------------------------------------------------------
  368. --
  369. -- END OF FILE parallel_flash_memory_uart_programmer.vhd
  370. --
  371. ------------------------------------------------------------------------------------------------------------------------------------