You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

147 lines
5.0KB

  1. -- UART Receiver with integral 16 byte FIFO buffer
  2. --
  3. -- 8 bit, no parity, 1 stop bit
  4. --
  5. -- Version : 1.00
  6. -- Version Date : 16th October 2002
  7. --
  8. -- Start of design entry : 16th October 2002
  9. --
  10. -- Ken Chapman
  11. -- Xilinx Ltd
  12. -- Benchmark House
  13. -- 203 Brooklands Road
  14. -- Weybridge
  15. -- Surrey KT13 ORH
  16. -- United Kingdom
  17. --
  18. -- chapman@xilinx.com
  19. --
  20. ------------------------------------------------------------------------------------
  21. --
  22. -- NOTICE:
  23. --
  24. -- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other
  25. -- third parties. By providing this core as one possible implementation of a standard,
  26. -- Xilinx is making no representation that the provided implementation of this standard
  27. -- is free from any claims of infringement by any third party. Xilinx expressly
  28. -- disclaims any warranty with respect to the adequacy of the implementation, including
  29. -- but not limited to any warranty or representation that the implementation is free
  30. -- from claims of any third party. Futhermore, Xilinx is providing this core as a
  31. -- courtesy to you and suggests that you contact all third parties to obtain the
  32. -- necessary rights to use this implementation.
  33. --
  34. ------------------------------------------------------------------------------------
  35. --
  36. -- Library declarations
  37. --
  38. -- The Unisim Library is used to define Xilinx primitives. It is also used during
  39. -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
  40. --
  41. library IEEE;
  42. use IEEE.STD_LOGIC_1164.ALL;
  43. use IEEE.STD_LOGIC_ARITH.ALL;
  44. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  45. library unisim;
  46. use unisim.vcomponents.all;
  47. --
  48. ------------------------------------------------------------------------------------
  49. --
  50. -- Main Entity for UART_RX
  51. --
  52. entity uart_rx is
  53. Port ( serial_in : in std_logic;
  54. data_out : out std_logic_vector(7 downto 0);
  55. read_buffer : in std_logic;
  56. reset_buffer : in std_logic;
  57. en_16_x_baud : in std_logic;
  58. buffer_data_present : out std_logic;
  59. buffer_full : out std_logic;
  60. buffer_half_full : out std_logic;
  61. clk : in std_logic);
  62. end uart_rx;
  63. --
  64. ------------------------------------------------------------------------------------
  65. --
  66. -- Start of Main Architecture for UART_RX
  67. --
  68. architecture macro_level_definition of uart_rx is
  69. --
  70. ------------------------------------------------------------------------------------
  71. --
  72. -- Components used in UART_RX and defined in subsequent entities.
  73. --
  74. ------------------------------------------------------------------------------------
  75. --
  76. -- Constant (K) Compact UART Receiver
  77. --
  78. component kcuart_rx
  79. Port ( serial_in : in std_logic;
  80. data_out : out std_logic_vector(7 downto 0);
  81. data_strobe : out std_logic;
  82. en_16_x_baud : in std_logic;
  83. clk : in std_logic);
  84. end component;
  85. --
  86. -- 'Bucket Brigade' FIFO
  87. --
  88. component bbfifo_16x8
  89. Port ( data_in : in std_logic_vector(7 downto 0);
  90. data_out : out std_logic_vector(7 downto 0);
  91. reset : in std_logic;
  92. write : in std_logic;
  93. read : in std_logic;
  94. full : out std_logic;
  95. half_full : out std_logic;
  96. data_present : out std_logic;
  97. clk : in std_logic);
  98. end component;
  99. --
  100. ------------------------------------------------------------------------------------
  101. --
  102. -- Signals used in UART_RX
  103. --
  104. ------------------------------------------------------------------------------------
  105. --
  106. signal uart_data_out : std_logic_vector(7 downto 0);
  107. signal fifo_write : std_logic;
  108. --
  109. ------------------------------------------------------------------------------------
  110. --
  111. -- Start of UART_RX circuit description
  112. --
  113. ------------------------------------------------------------------------------------
  114. --
  115. begin
  116. -- 8 to 1 multiplexer to convert parallel data to serial
  117. kcuart: kcuart_rx
  118. port map ( serial_in => serial_in,
  119. data_out => uart_data_out,
  120. data_strobe => fifo_write,
  121. en_16_x_baud => en_16_x_baud,
  122. clk => clk );
  123. buf: bbfifo_16x8
  124. port map ( data_in => uart_data_out,
  125. data_out => data_out,
  126. reset => reset_buffer,
  127. write => fifo_write,
  128. read => read_buffer,
  129. full => buffer_full,
  130. half_full => buffer_half_full,
  131. data_present => buffer_data_present,
  132. clk => clk);
  133. end macro_level_definition;
  134. ------------------------------------------------------------------------------------
  135. --
  136. -- END OF FILE UART_RX.VHD
  137. --
  138. ------------------------------------------------------------------------------------