You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

155 lines
5.4KB

  1. -- UART Transmitter with integral 16 byte FIFO buffer
  2. --
  3. -- 8 bit, no parity, 1 stop bit
  4. --
  5. -- Special version made 2nd November 2005 in which the data_present signal
  6. -- was brough out as well as the half and full status signals.
  7. --
  8. -- Version : 1.00
  9. -- Version Date : 14th October 2002
  10. --
  11. -- Start of design entry : 14th October 2002
  12. --
  13. -- Ken Chapman
  14. -- Xilinx Ltd
  15. -- Benchmark House
  16. -- 203 Brooklands Road
  17. -- Weybridge
  18. -- Surrey KT13 ORH
  19. -- United Kingdom
  20. --
  21. -- chapman@xilinx.com
  22. --
  23. ------------------------------------------------------------------------------------
  24. --
  25. -- NOTICE:
  26. --
  27. -- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other
  28. -- third parties. By providing this core as one possible implementation of a standard,
  29. -- Xilinx is making no representation that the provided implementation of this standard
  30. -- is free from any claims of infringement by any third party. Xilinx expressly
  31. -- disclaims any warranty with respect to the adequacy of the implementation, including
  32. -- but not limited to any warranty or representation that the implementation is free
  33. -- from claims of any third party. Futhermore, Xilinx is providing this core as a
  34. -- courtesy to you and suggests that you contact all third parties to obtain the
  35. -- necessary rights to use this implementation.
  36. --
  37. ------------------------------------------------------------------------------------
  38. --
  39. -- Library declarations
  40. --
  41. -- The Unisim Library is used to define Xilinx primitives. It is also used during
  42. -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
  43. --
  44. library IEEE;
  45. use IEEE.STD_LOGIC_1164.ALL;
  46. use IEEE.STD_LOGIC_ARITH.ALL;
  47. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  48. library unisim;
  49. use unisim.vcomponents.all;
  50. --
  51. ------------------------------------------------------------------------------------
  52. --
  53. -- Main Entity for uart_tx_plus
  54. --
  55. entity uart_tx_plus is
  56. Port ( data_in : in std_logic_vector(7 downto 0);
  57. write_buffer : in std_logic;
  58. reset_buffer : in std_logic;
  59. en_16_x_baud : in std_logic;
  60. serial_out : out std_logic;
  61. buffer_data_present : out std_logic;
  62. buffer_full : out std_logic;
  63. buffer_half_full : out std_logic;
  64. clk : in std_logic);
  65. end uart_tx_plus;
  66. --
  67. ------------------------------------------------------------------------------------
  68. --
  69. -- Start of Main Architecture for uart_tx_plus
  70. --
  71. architecture macro_level_definition of uart_tx_plus is
  72. --
  73. ------------------------------------------------------------------------------------
  74. --
  75. -- Components used in uart_tx_plus and defined in subsequent entities.
  76. --
  77. ------------------------------------------------------------------------------------
  78. --
  79. -- Constant (K) Compact UART Transmitter
  80. --
  81. component kcuart_tx
  82. Port ( data_in : in std_logic_vector(7 downto 0);
  83. send_character : in std_logic;
  84. en_16_x_baud : in std_logic;
  85. serial_out : out std_logic;
  86. Tx_complete : out std_logic;
  87. clk : in std_logic);
  88. end component;
  89. --
  90. -- 'Bucket Brigade' FIFO
  91. --
  92. component bbfifo_16x8
  93. Port ( data_in : in std_logic_vector(7 downto 0);
  94. data_out : out std_logic_vector(7 downto 0);
  95. reset : in std_logic;
  96. write : in std_logic;
  97. read : in std_logic;
  98. full : out std_logic;
  99. half_full : out std_logic;
  100. data_present : out std_logic;
  101. clk : in std_logic);
  102. end component;
  103. --
  104. ------------------------------------------------------------------------------------
  105. --
  106. -- Signals used in uart_tx_plus
  107. --
  108. ------------------------------------------------------------------------------------
  109. --
  110. signal fifo_data_out : std_logic_vector(7 downto 0);
  111. signal fifo_data_present : std_logic;
  112. signal fifo_read : std_logic;
  113. --
  114. ------------------------------------------------------------------------------------
  115. --
  116. -- Start of UART_TX circuit description
  117. --
  118. ------------------------------------------------------------------------------------
  119. --
  120. begin
  121. -- 8 to 1 multiplexer to convert parallel data to serial
  122. kcuart: kcuart_tx
  123. port map ( data_in => fifo_data_out,
  124. send_character => fifo_data_present,
  125. en_16_x_baud => en_16_x_baud,
  126. serial_out => serial_out,
  127. Tx_complete => fifo_read,
  128. clk => clk);
  129. buf: bbfifo_16x8
  130. port map ( data_in => data_in,
  131. data_out => fifo_data_out,
  132. reset => reset_buffer,
  133. write => write_buffer,
  134. read => fifo_read,
  135. full => buffer_full,
  136. half_full => buffer_half_full,
  137. data_present => fifo_data_present,
  138. clk => clk);
  139. buffer_data_present <= fifo_data_present;
  140. end macro_level_definition;
  141. ------------------------------------------------------------------------------------
  142. --
  143. -- END OF FILE uart_tx_plus.vhd
  144. --
  145. ------------------------------------------------------------------------------------