You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

137 lines
4.1KB

  1. ---------------------------------------------------------------------
  2. -- TITLE: Bus Multiplexer / Signal Router
  3. -- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
  4. -- DATE CREATED: 2/8/01
  5. -- FILENAME: bus_mux.vhd
  6. -- PROJECT: Plasma CPU core
  7. -- COPYRIGHT: Software placed into the public domain by the author.
  8. -- Software 'as is' without warranty. Author liable for nothing.
  9. -- DESCRIPTION:
  10. -- This entity is the main signal router.
  11. -- It multiplexes signals from multiple sources to the correct location.
  12. -- The outputs are as follows:
  13. -- a_bus : goes to the ALU
  14. -- b_bus : goes to the ALU
  15. -- reg_dest_out : goes to the register bank
  16. -- take_branch : goes to pc_next
  17. ---------------------------------------------------------------------
  18. library ieee;
  19. use ieee.std_logic_1164.all;
  20. use work.mlite_pack.all;
  21. entity bus_mux is
  22. port(imm_in : in std_logic_vector(15 downto 0);
  23. reg_source : in std_logic_vector(31 downto 0);
  24. a_mux : in a_source_type;
  25. a_out : out std_logic_vector(31 downto 0);
  26. reg_target : in std_logic_vector(31 downto 0);
  27. b_mux : in b_source_type;
  28. b_out : out std_logic_vector(31 downto 0);
  29. c_bus : in std_logic_vector(31 downto 0);
  30. c_memory : in std_logic_vector(31 downto 0);
  31. c_pc : in std_logic_vector(31 downto 2);
  32. c_pc_plus4 : in std_logic_vector(31 downto 2);
  33. c_mux : in c_source_type;
  34. reg_dest_out : out std_logic_vector(31 downto 0);
  35. branch_func : in branch_function_type;
  36. take_branch : out std_logic);
  37. end; --entity bus_mux
  38. architecture logic of bus_mux is
  39. begin
  40. --Determine value of a_bus
  41. amux: process(reg_source, imm_in, a_mux, c_pc)
  42. begin
  43. case a_mux is
  44. when A_FROM_REG_SOURCE =>
  45. a_out <= reg_source;
  46. when A_FROM_IMM10_6 =>
  47. a_out <= ZERO(31 downto 5) & imm_in(10 downto 6);
  48. when A_FROM_PC =>
  49. a_out <= c_pc & "00";
  50. when others =>
  51. a_out <= c_pc & "00";
  52. end case;
  53. end process;
  54. --Determine value of b_bus
  55. bmux: process(reg_target, imm_in, b_mux)
  56. begin
  57. case b_mux is
  58. when B_FROM_REG_TARGET =>
  59. b_out <= reg_target;
  60. when B_FROM_IMM =>
  61. b_out <= ZERO(31 downto 16) & imm_in;
  62. when B_FROM_SIGNED_IMM =>
  63. if imm_in(15) = '0' then
  64. b_out(31 downto 16) <= ZERO(31 downto 16);
  65. else
  66. b_out(31 downto 16) <= "1111111111111111";
  67. end if;
  68. b_out(15 downto 0) <= imm_in;
  69. when B_FROM_IMMX4 =>
  70. if imm_in(15) = '0' then
  71. b_out(31 downto 18) <= "00000000000000";
  72. else
  73. b_out(31 downto 18) <= "11111111111111";
  74. end if;
  75. b_out(17 downto 0) <= imm_in & "00";
  76. when others =>
  77. b_out <= reg_target;
  78. end case;
  79. end process;
  80. --Determine value of c_bus
  81. cmux: process(c_bus, c_memory, c_pc, c_pc_plus4, imm_in, c_mux)
  82. begin
  83. case c_mux is
  84. when C_FROM_ALU => -- | C_FROM_SHIFT | C_FROM_MULT =>
  85. reg_dest_out <= c_bus;
  86. when C_FROM_MEMORY =>
  87. reg_dest_out <= c_memory;
  88. when C_FROM_PC =>
  89. reg_dest_out <= c_pc(31 downto 2) & "00";
  90. when C_FROM_PC_PLUS4 =>
  91. reg_dest_out <= c_pc_plus4 & "00";
  92. when C_FROM_IMM_SHIFT16 =>
  93. reg_dest_out <= imm_in & ZERO(15 downto 0);
  94. when others =>
  95. reg_dest_out <= c_bus;
  96. end case;
  97. end process;
  98. --Determine value of take_branch
  99. pc_mux: process(branch_func, reg_source, reg_target)
  100. variable is_equal : std_logic;
  101. begin
  102. if reg_source = reg_target then
  103. is_equal := '1';
  104. else
  105. is_equal := '0';
  106. end if;
  107. case branch_func is
  108. when BRANCH_LTZ =>
  109. take_branch <= reg_source(31);
  110. when BRANCH_LEZ =>
  111. take_branch <= reg_source(31) or is_equal;
  112. when BRANCH_EQ =>
  113. take_branch <= is_equal;
  114. when BRANCH_NE =>
  115. take_branch <= not is_equal;
  116. when BRANCH_GEZ =>
  117. take_branch <= not reg_source(31);
  118. when BRANCH_GTZ =>
  119. take_branch <= not reg_source(31) and not is_equal;
  120. when BRANCH_YES =>
  121. take_branch <= '1';
  122. when others =>
  123. take_branch <= '0';
  124. end case;
  125. end process;
  126. end; --architecture logic