You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

482 line
15KB

  1. ---------------------------------------------------------------------
  2. -- TITLE: Controller / Opcode Decoder
  3. -- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
  4. -- DATE CREATED: 2/8/01
  5. -- FILENAME: control.vhd
  6. -- PROJECT: Plasma CPU core
  7. -- COPYRIGHT: Software placed into the public domain by the author.
  8. -- Software 'as is' without warranty. Author liable for nothing.
  9. -- NOTE: MIPS(tm) is a registered trademark of MIPS Technologies.
  10. -- MIPS Technologies does not endorse and is not associated with
  11. -- this project.
  12. -- DESCRIPTION:
  13. -- Controls the CPU by decoding the opcode and generating control
  14. -- signals to the rest of the CPU.
  15. -- This entity decodes the MIPS(tm) opcode into a
  16. -- Very-Long-Word-Instruction.
  17. -- The 32-bit opcode is converted to a
  18. -- 6+6+6+16+4+2+4+3+2+2+3+2+4 = 60 bit VLWI opcode.
  19. -- Based on information found in:
  20. -- "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich
  21. -- and "The Designer's Guide to VHDL" by Peter J. Ashenden
  22. ---------------------------------------------------------------------
  23. library ieee;
  24. use ieee.std_logic_1164.all;
  25. use work.mlite_pack.all;
  26. entity control is
  27. port(opcode : in std_logic_vector(31 downto 0);
  28. intr_signal : in std_logic;
  29. rs_index : out std_logic_vector(5 downto 0);
  30. rt_index : out std_logic_vector(5 downto 0);
  31. rd_index : out std_logic_vector(5 downto 0);
  32. imm_out : out std_logic_vector(15 downto 0);
  33. alu_func : out alu_function_type;
  34. shift_func : out shift_function_type;
  35. mult_func : out mult_function_type;
  36. branch_func : out branch_function_type;
  37. a_source_out : out a_source_type;
  38. b_source_out : out b_source_type;
  39. c_source_out : out c_source_type;
  40. pc_source_out: out pc_source_type;
  41. mem_source_out:out mem_source_type;
  42. exception_out: out std_logic);
  43. end; --entity control
  44. architecture logic of control is
  45. begin
  46. control_proc: process(opcode, intr_signal)
  47. variable op, func : std_logic_vector(5 downto 0);
  48. variable rs, rt, rd : std_logic_vector(5 downto 0);
  49. variable rtx : std_logic_vector(4 downto 0);
  50. variable imm : std_logic_vector(15 downto 0);
  51. variable alu_function : alu_function_type;
  52. variable shift_function : shift_function_type;
  53. variable mult_function : mult_function_type;
  54. variable a_source : a_source_type;
  55. variable b_source : b_source_type;
  56. variable c_source : c_source_type;
  57. variable pc_source : pc_source_type;
  58. variable branch_function: branch_function_type;
  59. variable mem_source : mem_source_type;
  60. variable is_syscall : std_logic;
  61. begin
  62. alu_function := ALU_NOTHING;
  63. shift_function := SHIFT_NOTHING;
  64. mult_function := MULT_NOTHING;
  65. a_source := A_FROM_REG_SOURCE;
  66. b_source := B_FROM_REG_TARGET;
  67. c_source := C_FROM_NULL;
  68. pc_source := FROM_INC4;
  69. branch_function := BRANCH_EQ;
  70. mem_source := MEM_FETCH;
  71. op := opcode(31 downto 26);
  72. rs := '0' & opcode(25 downto 21);
  73. rt := '0' & opcode(20 downto 16);
  74. rtx := opcode(20 downto 16);
  75. rd := '0' & opcode(15 downto 11);
  76. func := opcode(5 downto 0);
  77. imm := opcode(15 downto 0);
  78. is_syscall := '0';
  79. case op is
  80. when "000000" => --SPECIAL
  81. case func is
  82. when "000000" => --SLL r[rd]=r[rt]<<re;
  83. a_source := A_FROM_IMM10_6;
  84. c_source := C_FROM_SHIFT;
  85. shift_function := SHIFT_LEFT_UNSIGNED;
  86. when "000010" => --SRL r[rd]=u[rt]>>re;
  87. a_source := A_FROM_IMM10_6;
  88. c_source := C_FROM_shift;
  89. shift_function := SHIFT_RIGHT_UNSIGNED;
  90. when "000011" => --SRA r[rd]=r[rt]>>re;
  91. a_source := A_FROM_IMM10_6;
  92. c_source := C_FROM_SHIFT;
  93. shift_function := SHIFT_RIGHT_SIGNED;
  94. when "000100" => --SLLV r[rd]=r[rt]<<r[rs];
  95. c_source := C_FROM_SHIFT;
  96. shift_function := SHIFT_LEFT_UNSIGNED;
  97. when "000110" => --SRLV r[rd]=u[rt]>>r[rs];
  98. c_source := C_FROM_SHIFT;
  99. shift_function := SHIFT_RIGHT_UNSIGNED;
  100. when "000111" => --SRAV r[rd]=r[rt]>>r[rs];
  101. c_source := C_FROM_SHIFT;
  102. shift_function := SHIFT_RIGHT_SIGNED;
  103. when "001000" => --JR s->pc_next=r[rs];
  104. pc_source := FROM_BRANCH;
  105. alu_function := ALU_ADD;
  106. branch_function := BRANCH_YES;
  107. when "001001" => --JALR r[rd]=s->pc_next; s->pc_next=r[rs];
  108. c_source := C_FROM_PC_PLUS4;
  109. pc_source := FROM_BRANCH;
  110. alu_function := ALU_ADD;
  111. branch_function := BRANCH_YES;
  112. --when "001010" => --MOVZ if(!r[rt]) r[rd]=r[rs]; /*IV*/
  113. --when "001011" => --MOVN if(r[rt]) r[rd]=r[rs]; /*IV*/
  114. when "001100" => --SYSCALL
  115. is_syscall := '1';
  116. when "001101" => --BREAK s->wakeup=1;
  117. is_syscall := '1';
  118. --when "001111" => --SYNC s->wakeup=1;
  119. when "010000" => --MFHI r[rd]=s->hi;
  120. c_source := C_FROM_MULT;
  121. mult_function := MULT_READ_HI;
  122. when "010001" => --FTHI s->hi=r[rs];
  123. mult_function := MULT_WRITE_HI;
  124. when "010010" => --MFLO r[rd]=s->lo;
  125. c_source := C_FROM_MULT;
  126. mult_function := MULT_READ_LO;
  127. when "010011" => --MTLO s->lo=r[rs];
  128. mult_function := MULT_WRITE_LO;
  129. when "011000" => --MULT s->lo=r[rs]*r[rt]; s->hi=0;
  130. mult_function := MULT_SIGNED_MULT;
  131. when "011001" => --MULTU s->lo=r[rs]*r[rt]; s->hi=0;
  132. mult_function := MULT_MULT;
  133. when "011010" => --DIV s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
  134. mult_function := MULT_SIGNED_DIVIDE;
  135. when "011011" => --DIVU s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
  136. mult_function := MULT_DIVIDE;
  137. when "100000" => --ADD r[rd]=r[rs]+r[rt];
  138. c_source := C_FROM_ALU;
  139. alu_function := ALU_ADD;
  140. when "100001" => --ADDU r[rd]=r[rs]+r[rt];
  141. c_source := C_FROM_ALU;
  142. alu_function := ALU_ADD;
  143. when "100010" => --SUB r[rd]=r[rs]-r[rt];
  144. c_source := C_FROM_ALU;
  145. alu_function := ALU_SUBTRACT;
  146. when "100011" => --SUBU r[rd]=r[rs]-r[rt];
  147. c_source := C_FROM_ALU;
  148. alu_function := ALU_SUBTRACT;
  149. when "100100" => --AND r[rd]=r[rs]&r[rt];
  150. c_source := C_FROM_ALU;
  151. alu_function := ALU_AND;
  152. when "100101" => --OR r[rd]=r[rs]|r[rt];
  153. c_source := C_FROM_ALU;
  154. alu_function := ALU_OR;
  155. when "100110" => --XOR r[rd]=r[rs]^r[rt];
  156. c_source := C_FROM_ALU;
  157. alu_function := ALU_XOR;
  158. when "100111" => --NOR r[rd]=~(r[rs]|r[rt]);
  159. c_source := C_FROM_ALU;
  160. alu_function := ALU_NOR;
  161. when "101010" => --SLT r[rd]=r[rs]<r[rt];
  162. c_source := C_FROM_ALU;
  163. alu_function := ALU_LESS_THAN_SIGNED;
  164. when "101011" => --SLTU r[rd]=u[rs]<u[rt];
  165. c_source := C_FROM_ALU;
  166. alu_function := ALU_LESS_THAN;
  167. when "101101" => --DADDU r[rd]=r[rs]+u[rt];
  168. c_source := C_FROM_ALU;
  169. alu_function := ALU_ADD;
  170. --when "110001" => --TGEU
  171. --when "110010" => --TLT
  172. --when "110011" => --TLTU
  173. --when "110100" => --TEQ
  174. --when "110110" => --TNE
  175. when others =>
  176. end case;
  177. when "000001" => --REGIMM
  178. rt := "000000";
  179. rd := "011111";
  180. a_source := A_FROM_PC;
  181. b_source := B_FROM_IMMX4;
  182. alu_function := ALU_ADD;
  183. pc_source := FROM_BRANCH;
  184. branch_function := BRANCH_GTZ;
  185. --if(test) pc=pc+imm*4
  186. case rtx is
  187. when "10000" => --BLTZAL r[31]=s->pc_next; branch=r[rs]<0;
  188. c_source := C_FROM_PC_PLUS4;
  189. branch_function := BRANCH_LTZ;
  190. when "00000" => --BLTZ branch=r[rs]<0;
  191. branch_function := BRANCH_LTZ;
  192. when "10001" => --BGEZAL r[31]=s->pc_next; branch=r[rs]>=0;
  193. c_source := C_FROM_PC_PLUS4;
  194. branch_function := BRANCH_GEZ;
  195. when "00001" => --BGEZ branch=r[rs]>=0;
  196. branch_function := BRANCH_GEZ;
  197. --when "10010" => --BLTZALL r[31]=s->pc_next; lbranch=r[rs]<0;
  198. --when "00010" => --BLTZL lbranch=r[rs]<0;
  199. --when "10011" => --BGEZALL r[31]=s->pc_next; lbranch=r[rs]>=0;
  200. --when "00011" => --BGEZL lbranch=r[rs]>=0;
  201. when others =>
  202. end case;
  203. when "000011" => --JAL r[31]=s->pc_next; s->pc_next=(s->pc&0xf0000000)|target;
  204. c_source := C_FROM_PC_PLUS4;
  205. rd := "011111";
  206. pc_source := FROM_OPCODE25_0;
  207. when "000010" => --J s->pc_next=(s->pc&0xf0000000)|target;
  208. pc_source := FROM_OPCODE25_0;
  209. when "000100" => --BEQ branch=r[rs]==r[rt];
  210. a_source := A_FROM_PC;
  211. b_source := B_FROM_IMMX4;
  212. alu_function := ALU_ADD;
  213. pc_source := FROM_BRANCH;
  214. branch_function := BRANCH_EQ;
  215. when "000101" => --BNE branch=r[rs]!=r[rt];
  216. a_source := A_FROM_PC;
  217. b_source := B_FROM_IMMX4;
  218. alu_function := ALU_ADD;
  219. pc_source := FROM_BRANCH;
  220. branch_function := BRANCH_NE;
  221. when "000110" => --BLEZ branch=r[rs]<=0;
  222. a_source := A_FROM_PC;
  223. b_source := b_FROM_IMMX4;
  224. alu_function := ALU_ADD;
  225. pc_source := FROM_BRANCH;
  226. branch_function := BRANCH_LEZ;
  227. when "000111" => --BGTZ branch=r[rs]>0;
  228. a_source := A_FROM_PC;
  229. b_source := B_FROM_IMMX4;
  230. alu_function := ALU_ADD;
  231. pc_source := FROM_BRANCH;
  232. branch_function := BRANCH_GTZ;
  233. when "001000" => --ADDI r[rt]=r[rs]+(short)imm;
  234. b_source := B_FROM_SIGNED_IMM;
  235. c_source := C_FROM_ALU;
  236. rd := rt;
  237. alu_function := ALU_ADD;
  238. when "001001" => --ADDIU u[rt]=u[rs]+(short)imm;
  239. b_source := B_FROM_SIGNED_IMM;
  240. c_source := C_FROM_ALU;
  241. rd := rt;
  242. alu_function := ALU_ADD;
  243. when "001010" => --SLTI r[rt]=r[rs]<(short)imm;
  244. b_source := B_FROM_SIGNED_IMM;
  245. c_source := C_FROM_ALU;
  246. rd := rt;
  247. alu_function := ALU_LESS_THAN_SIGNED;
  248. when "001011" => --SLTIU u[rt]=u[rs]<(unsigned long)(short)imm;
  249. b_source := B_FROM_IMM;
  250. c_source := C_FROM_ALU;
  251. rd := rt;
  252. alu_function := ALU_LESS_THAN;
  253. when "001100" => --ANDI r[rt]=r[rs]&imm;
  254. b_source := B_FROM_IMM;
  255. c_source := C_FROM_ALU;
  256. rd := rt;
  257. alu_function := ALU_AND;
  258. when "001101" => --ORI r[rt]=r[rs]|imm;
  259. b_source := B_FROM_IMM;
  260. c_source := C_FROM_ALU;
  261. rd := rt;
  262. alu_function := ALU_OR;
  263. when "001110" => --XORI r[rt]=r[rs]^imm;
  264. b_source := B_FROM_IMM;
  265. c_source := C_FROM_ALU;
  266. rd := rt;
  267. alu_function := ALU_XOR;
  268. when "001111" => --LUI r[rt]=(imm<<16);
  269. c_source := C_FROM_IMM_SHIFT16;
  270. rd := rt;
  271. when "010000" => --COP0
  272. alu_function := ALU_OR;
  273. c_source := C_FROM_ALU;
  274. if opcode(23) = '0' then --move from CP0
  275. rs := '1' & opcode(15 downto 11);
  276. rt := "000000";
  277. rd := '0' & opcode(20 downto 16);
  278. else --move to CP0
  279. rs := "000000";
  280. rd(5) := '1';
  281. pc_source := FROM_BRANCH; --delay possible interrupt
  282. branch_function := BRANCH_NO;
  283. end if;
  284. --when "010001" => --COP1
  285. --when "010010" => --COP2
  286. --when "010011" => --COP3
  287. --when "010100" => --BEQL lbranch=r[rs]==r[rt];
  288. --when "010101" => --BNEL lbranch=r[rs]!=r[rt];
  289. --when "010110" => --BLEZL lbranch=r[rs]<=0;
  290. --when "010111" => --BGTZL lbranch=r[rs]>0;
  291. when "100000" => --LB r[rt]=*(signed char*)ptr;
  292. a_source := A_FROM_REG_SOURCE;
  293. b_source := B_FROM_SIGNED_IMM;
  294. alu_function := ALU_ADD;
  295. rd := rt;
  296. c_source := C_FROM_MEMORY;
  297. mem_source := MEM_READ8S; --address=(short)imm+r[rs];
  298. when "100001" => --LH r[rt]=*(signed short*)ptr;
  299. a_source := A_FROM_REG_SOURCE;
  300. b_source := B_FROM_SIGNED_IMM;
  301. alu_function := ALU_ADD;
  302. rd := rt;
  303. c_source := C_FROM_MEMORY;
  304. mem_source := MEM_READ16S; --address=(short)imm+r[rs];
  305. when "100010" => --LWL //Not Implemented
  306. a_source := A_FROM_REG_SOURCE;
  307. b_source := B_FROM_SIGNED_IMM;
  308. alu_function := ALU_ADD;
  309. rd := rt;
  310. c_source := C_FROM_MEMORY;
  311. mem_source := MEM_READ32;
  312. when "100011" => --LW r[rt]=*(long*)ptr;
  313. a_source := A_FROM_REG_SOURCE;
  314. b_source := B_FROM_SIGNED_IMM;
  315. alu_function := ALU_ADD;
  316. rd := rt;
  317. c_source := C_FROM_MEMORY;
  318. mem_source := MEM_READ32;
  319. when "100100" => --LBU r[rt]=*(unsigned char*)ptr;
  320. a_source := A_FROM_REG_SOURCE;
  321. b_source := B_FROM_SIGNED_IMM;
  322. alu_function := ALU_ADD;
  323. rd := rt;
  324. c_source := C_FROM_MEMORY;
  325. mem_source := MEM_READ8; --address=(short)imm+r[rs];
  326. when "100101" => --LHU r[rt]=*(unsigned short*)ptr;
  327. a_source := A_FROM_REG_SOURCE;
  328. b_source := B_FROM_SIGNED_IMM;
  329. alu_function := ALU_ADD;
  330. rd := rt;
  331. c_source := C_FROM_MEMORY;
  332. mem_source := MEM_READ16; --address=(short)imm+r[rs];
  333. --when "100110" => --LWR //Not Implemented
  334. when "101000" => --SB *(char*)ptr=(char)r[rt];
  335. a_source := A_FROM_REG_SOURCE;
  336. b_source := B_FROM_SIGNED_IMM;
  337. alu_function := ALU_ADD;
  338. mem_source := MEM_WRITE8; --address=(short)imm+r[rs];
  339. when "101001" => --SH *(short*)ptr=(short)r[rt];
  340. a_source := A_FROM_REG_SOURCE;
  341. b_source := B_FROM_SIGNED_IMM;
  342. alu_function := ALU_ADD;
  343. mem_source := MEM_WRITE16;
  344. when "101010" => --SWL //Not Implemented
  345. a_source := A_FROM_REG_SOURCE;
  346. b_source := B_FROM_SIGNED_IMM;
  347. alu_function := ALU_ADD;
  348. mem_source := MEM_WRITE32; --address=(short)imm+r[rs];
  349. when "101011" => --SW *(long*)ptr=r[rt];
  350. a_source := A_FROM_REG_SOURCE;
  351. b_source := B_FROM_SIGNED_IMM;
  352. alu_function := ALU_ADD;
  353. mem_source := MEM_WRITE32; --address=(short)imm+r[rs];
  354. --when "101110" => --SWR //Not Implemented
  355. --when "101111" => --CACHE
  356. --when "110000" => --LL r[rt]=*(long*)ptr;
  357. --when "110001" => --LWC1
  358. --when "110010" => --LWC2
  359. --when "110011" => --LWC3
  360. --when "110101" => --LDC1
  361. --when "110110" => --LDC2
  362. --when "110111" => --LDC3
  363. --when "111000" => --SC *(long*)ptr=r[rt]; r[rt]=1;
  364. --when "111001" => --SWC1
  365. --when "111010" => --SWC2
  366. --when "111011" => --SWC3
  367. --when "111101" => --SDC1
  368. --when "111110" => --SDC2
  369. --when "111111" => --SDC3
  370. when others =>
  371. end case;
  372. if c_source = C_FROM_NULL then
  373. rd := "000000";
  374. end if;
  375. if intr_signal = '1' or is_syscall = '1' then
  376. rs := "111111"; --interrupt vector
  377. rt := "000000";
  378. rd := "101110"; --save PC in EPC
  379. alu_function := ALU_OR;
  380. shift_function := SHIFT_NOTHING;
  381. mult_function := MULT_NOTHING;
  382. branch_function := BRANCH_YES;
  383. a_source := A_FROM_REG_SOURCE;
  384. b_source := B_FROM_REG_TARGET;
  385. c_source := C_FROM_PC;
  386. pc_source := FROM_LBRANCH;
  387. mem_source := MEM_FETCH;
  388. exception_out <= '1';
  389. else
  390. exception_out <= '0';
  391. end if;
  392. rs_index <= rs;
  393. rt_index <= rt;
  394. rd_index <= rd;
  395. imm_out <= imm;
  396. alu_func <= alu_function;
  397. shift_func <= shift_function;
  398. mult_func <= mult_function;
  399. branch_func <= branch_function;
  400. a_source_out <= a_source;
  401. b_source_out <= b_source;
  402. c_source_out <= c_source;
  403. pc_source_out <= pc_source;
  404. mem_source_out <= mem_source;
  405. end process;
  406. end; --logic