You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

343 lines
13KB

  1. ---------------------------------------------------------------------
  2. -- TITLE: Plasma CPU core
  3. -- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
  4. -- DATE CREATED: 2/15/01
  5. -- FILENAME: mlite_cpu.vhd
  6. -- PROJECT: Plasma CPU core
  7. -- COPYRIGHT: Software placed into the public domain by the author.
  8. -- Software 'as is' without warranty. Author liable for nothing.
  9. -- NOTE: MIPS(tm) and MIPS I(tm) are registered trademarks of MIPS
  10. -- Technologies. MIPS Technologies does not endorse and is not
  11. -- associated with this project.
  12. -- DESCRIPTION:
  13. -- Top level VHDL document that ties the nine other entities together.
  14. --
  15. -- Executes all MIPS I(tm) opcodes but exceptions and non-aligned
  16. -- memory accesses. Based on information found in:
  17. -- "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich
  18. -- and "The Designer's Guide to VHDL" by Peter J. Ashenden
  19. --
  20. -- The CPU is implemented as a two or three stage pipeline.
  21. -- An add instruction would take the following steps (see cpu.gif):
  22. -- Stage #0:
  23. -- 1. The "pc_next" entity passes the program counter (PC) to the
  24. -- "mem_ctrl" entity which fetches the opcode from memory.
  25. -- Stage #1:
  26. -- 2. The memory returns the opcode.
  27. -- Stage #2:
  28. -- 3. "Mem_ctrl" passes the opcode to the "control" entity.
  29. -- 4. "Control" converts the 32-bit opcode to a 60-bit VLWI opcode
  30. -- and sends control signals to the other entities.
  31. -- 5. Based on the rs_index and rt_index control signals, "reg_bank"
  32. -- sends the 32-bit reg_source and reg_target to "bus_mux".
  33. -- 6. Based on the a_source and b_source control signals, "bus_mux"
  34. -- multiplexes reg_source onto a_bus and reg_target onto b_bus.
  35. -- Stage #3 (part of stage #2 if using two stage pipeline):
  36. -- 7. Based on the alu_func control signals, "alu" adds the values
  37. -- from a_bus and b_bus and places the result on c_bus.
  38. -- 8. Based on the c_source control signals, "bus_bux" multiplexes
  39. -- c_bus onto reg_dest.
  40. -- 9. Based on the rd_index control signal, "reg_bank" saves
  41. -- reg_dest into the correct register.
  42. -- Stage #3b:
  43. -- 10. Read or write memory if needed.
  44. --
  45. -- All signals are active high.
  46. -- Here are the signals for writing a character to address 0xffff
  47. -- when using a two stage pipeline:
  48. --
  49. -- Program:
  50. -- addr value opcode
  51. -- =============================
  52. -- 3c: 00000000 nop
  53. -- 40: 34040041 li $a0,0x41
  54. -- 44: 3405ffff li $a1,0xffff
  55. -- 48: a0a40000 sb $a0,0($a1)
  56. -- 4c: 00000000 nop
  57. -- 50: 00000000 nop
  58. --
  59. -- intr_in mem_pause
  60. -- reset_in byte_we Stages
  61. -- ns address data_w data_r 40 44 48 4c 50
  62. -- 3600 0 0 00000040 00000000 34040041 0 0 1
  63. -- 3700 0 0 00000044 00000000 3405FFFF 0 0 2 1
  64. -- 3800 0 0 00000048 00000000 A0A40000 0 0 2 1
  65. -- 3900 0 0 0000004C 41414141 00000000 0 0 2 1
  66. -- 4000 0 0 0000FFFC 41414141 XXXXXX41 1 0 3 2
  67. -- 4100 0 0 00000050 00000000 00000000 0 0 1
  68. ---------------------------------------------------------------------
  69. library ieee;
  70. use work.mlite_pack.all;
  71. use ieee.std_logic_1164.all;
  72. use ieee.std_logic_unsigned.all;
  73. entity mlite_cpu is
  74. generic(memory_type : string := "XILINX_16X"; --ALTERA_LPM, or DUAL_PORT_
  75. mult_type : string := "DEFAULT"; --AREA_OPTIMIZED
  76. shifter_type : string := "DEFAULT"; --AREA_OPTIMIZED
  77. alu_type : string := "DEFAULT"; --AREA_OPTIMIZED
  78. pipeline_stages : natural := 3); --2 or 3
  79. port(clk : in std_logic;
  80. reset_in : in std_logic;
  81. intr_in : in std_logic;
  82. address_next : out std_logic_vector(31 downto 2); --for synch ram
  83. byte_we_next : out std_logic_vector(3 downto 0);
  84. address : out std_logic_vector(31 downto 2);
  85. byte_we : out std_logic_vector(3 downto 0);
  86. data_w : out std_logic_vector(31 downto 0);
  87. data_r : in std_logic_vector(31 downto 0);
  88. mem_pause : in std_logic);
  89. end; --entity mlite_cpu
  90. architecture logic of mlite_cpu is
  91. --When using a two stage pipeline "sigD <= sig".
  92. --When using a three stage pipeline "sigD <= sig when rising_edge(clk)",
  93. -- so sigD is delayed by one clock cycle.
  94. signal opcode : std_logic_vector(31 downto 0);
  95. signal rs_index : std_logic_vector(5 downto 0);
  96. signal rt_index : std_logic_vector(5 downto 0);
  97. signal rd_index : std_logic_vector(5 downto 0);
  98. signal rd_indexD : std_logic_vector(5 downto 0);
  99. signal reg_source : std_logic_vector(31 downto 0);
  100. signal reg_target : std_logic_vector(31 downto 0);
  101. signal reg_dest : std_logic_vector(31 downto 0);
  102. signal reg_destD : std_logic_vector(31 downto 0);
  103. signal a_bus : std_logic_vector(31 downto 0);
  104. signal a_busD : std_logic_vector(31 downto 0);
  105. signal b_bus : std_logic_vector(31 downto 0);
  106. signal b_busD : std_logic_vector(31 downto 0);
  107. signal c_bus : std_logic_vector(31 downto 0);
  108. signal c_alu : std_logic_vector(31 downto 0);
  109. signal c_shift : std_logic_vector(31 downto 0);
  110. signal c_mult : std_logic_vector(31 downto 0);
  111. signal c_memory : std_logic_vector(31 downto 0);
  112. signal imm : std_logic_vector(15 downto 0);
  113. signal pc_future : std_logic_vector(31 downto 2);
  114. signal pc_current : std_logic_vector(31 downto 2);
  115. signal pc_plus4 : std_logic_vector(31 downto 2);
  116. signal alu_func : alu_function_type;
  117. signal alu_funcD : alu_function_type;
  118. signal shift_func : shift_function_type;
  119. signal shift_funcD : shift_function_type;
  120. signal mult_func : mult_function_type;
  121. signal mult_funcD : mult_function_type;
  122. signal branch_func : branch_function_type;
  123. signal take_branch : std_logic;
  124. signal a_source : a_source_type;
  125. signal b_source : b_source_type;
  126. signal c_source : c_source_type;
  127. signal pc_source : pc_source_type;
  128. signal mem_source : mem_source_type;
  129. signal pause_mult : std_logic;
  130. signal pause_ctrl : std_logic;
  131. signal pause_pipeline : std_logic;
  132. signal pause_any : std_logic;
  133. signal pause_non_ctrl : std_logic;
  134. signal pause_bank : std_logic;
  135. signal nullify_op : std_logic;
  136. signal intr_enable : std_logic;
  137. signal intr_signal : std_logic;
  138. signal exception_sig : std_logic;
  139. signal reset_reg : std_logic_vector(3 downto 0);
  140. signal reset : std_logic;
  141. begin --architecture
  142. pause_any <= (mem_pause or pause_ctrl) or (pause_mult or pause_pipeline);
  143. pause_non_ctrl <= (mem_pause or pause_mult) or pause_pipeline;
  144. pause_bank <= (mem_pause or pause_ctrl or pause_mult) and not pause_pipeline;
  145. nullify_op <= '1' when (pc_source = FROM_LBRANCH and take_branch = '0')
  146. or intr_signal = '1' or exception_sig = '1'
  147. else '0';
  148. c_bus <= c_alu or c_shift or c_mult;
  149. reset <= '1' when reset_in = '1' or reset_reg /= "1111" else '0';
  150. --synchronize reset and interrupt pins
  151. intr_proc: process(clk, reset_in, reset_reg, intr_in, intr_enable,
  152. pc_source, pc_current, pause_any)
  153. begin
  154. if reset_in = '1' then
  155. reset_reg <= "0000";
  156. intr_signal <= '0';
  157. elsif rising_edge(clk) then
  158. if reset_reg /= "1111" then
  159. reset_reg <= reset_reg + 1;
  160. end if;
  161. --don't try to interrupt a multi-cycle instruction
  162. if pause_any = '0' then
  163. if intr_in = '1' and intr_enable = '1' and
  164. pc_source = FROM_INC4 then
  165. --the epc will contain pc+4
  166. intr_signal <= '1';
  167. else
  168. intr_signal <= '0';
  169. end if;
  170. end if;
  171. end if;
  172. end process;
  173. u1_pc_next: pc_next PORT MAP (
  174. clk => clk,
  175. reset_in => reset,
  176. take_branch => take_branch,
  177. pause_in => pause_any,
  178. pc_new => c_bus(31 downto 2),
  179. opcode25_0 => opcode(25 downto 0),
  180. pc_source => pc_source,
  181. pc_future => pc_future,
  182. pc_current => pc_current,
  183. pc_plus4 => pc_plus4);
  184. u2_mem_ctrl: mem_ctrl
  185. PORT MAP (
  186. clk => clk,
  187. reset_in => reset,
  188. pause_in => pause_non_ctrl,
  189. nullify_op => nullify_op,
  190. address_pc => pc_future,
  191. opcode_out => opcode,
  192. address_in => c_bus,
  193. mem_source => mem_source,
  194. data_write => reg_target,
  195. data_read => c_memory,
  196. pause_out => pause_ctrl,
  197. address_next => address_next,
  198. byte_we_next => byte_we_next,
  199. address => address,
  200. byte_we => byte_we,
  201. data_w => data_w,
  202. data_r => data_r);
  203. u3_control: control PORT MAP (
  204. opcode => opcode,
  205. intr_signal => intr_signal,
  206. rs_index => rs_index,
  207. rt_index => rt_index,
  208. rd_index => rd_index,
  209. imm_out => imm,
  210. alu_func => alu_func,
  211. shift_func => shift_func,
  212. mult_func => mult_func,
  213. branch_func => branch_func,
  214. a_source_out => a_source,
  215. b_source_out => b_source,
  216. c_source_out => c_source,
  217. pc_source_out=> pc_source,
  218. mem_source_out=> mem_source,
  219. exception_out=> exception_sig);
  220. u4_reg_bank: reg_bank
  221. generic map(memory_type => memory_type)
  222. port map (
  223. clk => clk,
  224. reset_in => reset,
  225. pause => pause_bank,
  226. rs_index => rs_index,
  227. rt_index => rt_index,
  228. rd_index => rd_indexD,
  229. reg_source_out => reg_source,
  230. reg_target_out => reg_target,
  231. reg_dest_new => reg_destD,
  232. intr_enable => intr_enable);
  233. u5_bus_mux: bus_mux port map (
  234. imm_in => imm,
  235. reg_source => reg_source,
  236. a_mux => a_source,
  237. a_out => a_bus,
  238. reg_target => reg_target,
  239. b_mux => b_source,
  240. b_out => b_bus,
  241. c_bus => c_bus,
  242. c_memory => c_memory,
  243. c_pc => pc_current,
  244. c_pc_plus4 => pc_plus4,
  245. c_mux => c_source,
  246. reg_dest_out => reg_dest,
  247. branch_func => branch_func,
  248. take_branch => take_branch);
  249. u6_alu: alu
  250. generic map (alu_type => alu_type)
  251. port map (
  252. a_in => a_busD,
  253. b_in => b_busD,
  254. alu_function => alu_funcD,
  255. c_alu => c_alu);
  256. u7_shifter: shifter
  257. generic map (shifter_type => shifter_type)
  258. port map (
  259. value => b_busD,
  260. shift_amount => a_busD(4 downto 0),
  261. shift_func => shift_funcD,
  262. c_shift => c_shift);
  263. u8_mult: mult
  264. generic map (mult_type => mult_type)
  265. port map (
  266. clk => clk,
  267. reset_in => reset,
  268. a => a_busD,
  269. b => b_busD,
  270. mult_func => mult_funcD,
  271. c_mult => c_mult,
  272. pause_out => pause_mult);
  273. pipeline2: if pipeline_stages <= 2 generate
  274. a_busD <= a_bus;
  275. b_busD <= b_bus;
  276. alu_funcD <= alu_func;
  277. shift_funcD <= shift_func;
  278. mult_funcD <= mult_func;
  279. rd_indexD <= rd_index;
  280. reg_destD <= reg_dest;
  281. pause_pipeline <= '0';
  282. end generate; --pipeline2
  283. pipeline3: if pipeline_stages > 2 generate
  284. --When operating in three stage pipeline mode, the following signals
  285. --are delayed by one clock cycle: a_bus, b_bus, alu/shift/mult_func,
  286. --c_source, and rd_index.
  287. u9_pipeline: pipeline port map (
  288. clk => clk,
  289. reset => reset,
  290. a_bus => a_bus,
  291. a_busD => a_busD,
  292. b_bus => b_bus,
  293. b_busD => b_busD,
  294. alu_func => alu_func,
  295. alu_funcD => alu_funcD,
  296. shift_func => shift_func,
  297. shift_funcD => shift_funcD,
  298. mult_func => mult_func,
  299. mult_funcD => mult_funcD,
  300. reg_dest => reg_dest,
  301. reg_destD => reg_destD,
  302. rd_index => rd_index,
  303. rd_indexD => rd_indexD,
  304. rs_index => rs_index,
  305. rt_index => rt_index,
  306. pc_source => pc_source,
  307. mem_source => mem_source,
  308. a_source => a_source,
  309. b_source => b_source,
  310. c_source => c_source,
  311. c_bus => c_bus,
  312. pause_any => pause_any,
  313. pause_pipeline => pause_pipeline);
  314. end generate; --pipeline3
  315. end; --architecture logic