您最多选择25个主题 主题必须以字母或数字开头,可以包含连字符 (-),并且长度不得超过35个字符

523 行
21KB

  1. ---------------------------------------------------------------------
  2. -- TITLE: Plasma Misc. Package
  3. -- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
  4. -- DATE CREATED: 2/15/01
  5. -- FILENAME: mlite_pack.vhd
  6. -- PROJECT: Plasma CPU core
  7. -- COPYRIGHT: Software placed into the public domain by the author.
  8. -- Software 'as is' without warranty. Author liable for nothing.
  9. -- DESCRIPTION:
  10. -- Data types, constants, and add functions needed for the Plasma CPU.
  11. ---------------------------------------------------------------------
  12. library ieee;
  13. use ieee.std_logic_1164.all;
  14. package mlite_pack is
  15. constant ZERO : std_logic_vector(31 downto 0) :=
  16. "00000000000000000000000000000000";
  17. constant ONES : std_logic_vector(31 downto 0) :=
  18. "11111111111111111111111111111111";
  19. --make HIGH_Z equal to ZERO if compiler complains
  20. constant HIGH_Z : std_logic_vector(31 downto 0) :=
  21. "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ";
  22. subtype alu_function_type is std_logic_vector(3 downto 0);
  23. constant ALU_NOTHING : alu_function_type := "0000";
  24. constant ALU_ADD : alu_function_type := "0001";
  25. constant ALU_SUBTRACT : alu_function_type := "0010";
  26. constant ALU_LESS_THAN : alu_function_type := "0011";
  27. constant ALU_LESS_THAN_SIGNED : alu_function_type := "0100";
  28. constant ALU_OR : alu_function_type := "0101";
  29. constant ALU_AND : alu_function_type := "0110";
  30. constant ALU_XOR : alu_function_type := "0111";
  31. constant ALU_NOR : alu_function_type := "1000";
  32. subtype shift_function_type is std_logic_vector(1 downto 0);
  33. constant SHIFT_NOTHING : shift_function_type := "00";
  34. constant SHIFT_LEFT_UNSIGNED : shift_function_type := "01";
  35. constant SHIFT_RIGHT_SIGNED : shift_function_type := "11";
  36. constant SHIFT_RIGHT_UNSIGNED : shift_function_type := "10";
  37. subtype mult_function_type is std_logic_vector(3 downto 0);
  38. constant MULT_NOTHING : mult_function_type := "0000";
  39. constant MULT_READ_LO : mult_function_type := "0001";
  40. constant MULT_READ_HI : mult_function_type := "0010";
  41. constant MULT_WRITE_LO : mult_function_type := "0011";
  42. constant MULT_WRITE_HI : mult_function_type := "0100";
  43. constant MULT_MULT : mult_function_type := "0101";
  44. constant MULT_SIGNED_MULT : mult_function_type := "0110";
  45. constant MULT_DIVIDE : mult_function_type := "0111";
  46. constant MULT_SIGNED_DIVIDE : mult_function_type := "1000";
  47. subtype a_source_type is std_logic_vector(1 downto 0);
  48. constant A_FROM_REG_SOURCE : a_source_type := "00";
  49. constant A_FROM_IMM10_6 : a_source_type := "01";
  50. constant A_FROM_PC : a_source_type := "10";
  51. subtype b_source_type is std_logic_vector(1 downto 0);
  52. constant B_FROM_REG_TARGET : b_source_type := "00";
  53. constant B_FROM_IMM : b_source_type := "01";
  54. constant B_FROM_SIGNED_IMM : b_source_type := "10";
  55. constant B_FROM_IMMX4 : b_source_type := "11";
  56. subtype c_source_type is std_logic_vector(2 downto 0);
  57. constant C_FROM_NULL : c_source_type := "000";
  58. constant C_FROM_ALU : c_source_type := "001";
  59. constant C_FROM_SHIFT : c_source_type := "001"; --same as alu
  60. constant C_FROM_MULT : c_source_type := "001"; --same as alu
  61. constant C_FROM_MEMORY : c_source_type := "010";
  62. constant C_FROM_PC : c_source_type := "011";
  63. constant C_FROM_PC_PLUS4 : c_source_type := "100";
  64. constant C_FROM_IMM_SHIFT16: c_source_type := "101";
  65. constant C_FROM_REG_SOURCEN: c_source_type := "110";
  66. subtype pc_source_type is std_logic_vector(1 downto 0);
  67. constant FROM_INC4 : pc_source_type := "00";
  68. constant FROM_OPCODE25_0 : pc_source_type := "01";
  69. constant FROM_BRANCH : pc_source_type := "10";
  70. constant FROM_LBRANCH : pc_source_type := "11";
  71. subtype branch_function_type is std_logic_vector(2 downto 0);
  72. constant BRANCH_LTZ : branch_function_type := "000";
  73. constant BRANCH_LEZ : branch_function_type := "001";
  74. constant BRANCH_EQ : branch_function_type := "010";
  75. constant BRANCH_NE : branch_function_type := "011";
  76. constant BRANCH_GEZ : branch_function_type := "100";
  77. constant BRANCH_GTZ : branch_function_type := "101";
  78. constant BRANCH_YES : branch_function_type := "110";
  79. constant BRANCH_NO : branch_function_type := "111";
  80. -- mode(32=1,16=2,8=3), signed, write
  81. subtype mem_source_type is std_logic_vector(3 downto 0);
  82. constant MEM_FETCH : mem_source_type := "0000";
  83. constant MEM_READ32 : mem_source_type := "0100";
  84. constant MEM_WRITE32 : mem_source_type := "0101";
  85. constant MEM_READ16 : mem_source_type := "1000";
  86. constant MEM_READ16S : mem_source_type := "1010";
  87. constant MEM_WRITE16 : mem_source_type := "1001";
  88. constant MEM_READ8 : mem_source_type := "1100";
  89. constant MEM_READ8S : mem_source_type := "1110";
  90. constant MEM_WRITE8 : mem_source_type := "1101";
  91. function bv_adder(a : in std_logic_vector;
  92. b : in std_logic_vector;
  93. do_add: in std_logic) return std_logic_vector;
  94. function bv_negate(a : in std_logic_vector) return std_logic_vector;
  95. function bv_increment(a : in std_logic_vector(31 downto 2)
  96. ) return std_logic_vector;
  97. function bv_inc(a : in std_logic_vector
  98. ) return std_logic_vector;
  99. -- For Altera
  100. COMPONENT lpm_ram_dp
  101. generic (
  102. LPM_WIDTH : natural; -- MUST be greater than 0
  103. LPM_WIDTHAD : natural; -- MUST be greater than 0
  104. LPM_NUMWORDS : natural := 0;
  105. LPM_INDATA : string := "REGISTERED";
  106. LPM_OUTDATA : string := "REGISTERED";
  107. LPM_RDADDRESS_CONTROL : string := "REGISTERED";
  108. LPM_WRADDRESS_CONTROL : string := "REGISTERED";
  109. LPM_FILE : string := "UNUSED";
  110. LPM_TYPE : string := "LPM_RAM_DP";
  111. USE_EAB : string := "OFF";
  112. INTENDED_DEVICE_FAMILY : string := "UNUSED";
  113. RDEN_USED : string := "TRUE";
  114. LPM_HINT : string := "UNUSED");
  115. port (
  116. RDCLOCK : in std_logic := '0';
  117. RDCLKEN : in std_logic := '1';
  118. RDADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
  119. RDEN : in std_logic := '1';
  120. DATA : in std_logic_vector(LPM_WIDTH-1 downto 0);
  121. WRADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
  122. WREN : in std_logic;
  123. WRCLOCK : in std_logic := '0';
  124. WRCLKEN : in std_logic := '1';
  125. Q : out std_logic_vector(LPM_WIDTH-1 downto 0));
  126. END COMPONENT;
  127. -- For Altera
  128. component LPM_RAM_DQ
  129. generic (
  130. LPM_WIDTH : natural; -- MUST be greater than 0
  131. LPM_WIDTHAD : natural; -- MUST be greater than 0
  132. LPM_NUMWORDS : natural := 0;
  133. LPM_INDATA : string := "REGISTERED";
  134. LPM_ADDRESS_CONTROL: string := "REGISTERED";
  135. LPM_OUTDATA : string := "REGISTERED";
  136. LPM_FILE : string := "UNUSED";
  137. LPM_TYPE : string := "LPM_RAM_DQ";
  138. USE_EAB : string := "OFF";
  139. INTENDED_DEVICE_FAMILY : string := "UNUSED";
  140. LPM_HINT : string := "UNUSED");
  141. port (
  142. DATA : in std_logic_vector(LPM_WIDTH-1 downto 0);
  143. ADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
  144. INCLOCK : in std_logic := '0';
  145. OUTCLOCK : in std_logic := '0';
  146. WE : in std_logic;
  147. Q : out std_logic_vector(LPM_WIDTH-1 downto 0));
  148. end component;
  149. -- For Xilinx
  150. component RAM16X1D
  151. -- synthesis translate_off
  152. generic (INIT : bit_vector := X"16");
  153. -- synthesis translate_on
  154. port (DPO : out STD_ULOGIC;
  155. SPO : out STD_ULOGIC;
  156. A0 : in STD_ULOGIC;
  157. A1 : in STD_ULOGIC;
  158. A2 : in STD_ULOGIC;
  159. A3 : in STD_ULOGIC;
  160. D : in STD_ULOGIC;
  161. DPRA0 : in STD_ULOGIC;
  162. DPRA1 : in STD_ULOGIC;
  163. DPRA2 : in STD_ULOGIC;
  164. DPRA3 : in STD_ULOGIC;
  165. WCLK : in STD_ULOGIC;
  166. WE : in STD_ULOGIC);
  167. end component;
  168. component pc_next
  169. port(clk : in std_logic;
  170. reset_in : in std_logic;
  171. pc_new : in std_logic_vector(31 downto 2);
  172. take_branch : in std_logic;
  173. pause_in : in std_logic;
  174. opcode25_0 : in std_logic_vector(25 downto 0);
  175. pc_source : in pc_source_type;
  176. pc_future : out std_logic_vector(31 downto 2);
  177. pc_current : out std_logic_vector(31 downto 2);
  178. pc_plus4 : out std_logic_vector(31 downto 2));
  179. end component;
  180. component mem_ctrl
  181. port(clk : in std_logic;
  182. reset_in : in std_logic;
  183. pause_in : in std_logic;
  184. nullify_op : in std_logic;
  185. address_pc : in std_logic_vector(31 downto 2);
  186. opcode_out : out std_logic_vector(31 downto 0);
  187. address_in : in std_logic_vector(31 downto 0);
  188. mem_source : in mem_source_type;
  189. data_write : in std_logic_vector(31 downto 0);
  190. data_read : out std_logic_vector(31 downto 0);
  191. pause_out : out std_logic;
  192. address_next : out std_logic_vector(31 downto 2);
  193. byte_we_next : out std_logic_vector(3 downto 0);
  194. address : out std_logic_vector(31 downto 2);
  195. byte_we : out std_logic_vector(3 downto 0);
  196. data_w : out std_logic_vector(31 downto 0);
  197. data_r : in std_logic_vector(31 downto 0));
  198. end component;
  199. component control
  200. port(opcode : in std_logic_vector(31 downto 0);
  201. intr_signal : in std_logic;
  202. rs_index : out std_logic_vector(5 downto 0);
  203. rt_index : out std_logic_vector(5 downto 0);
  204. rd_index : out std_logic_vector(5 downto 0);
  205. imm_out : out std_logic_vector(15 downto 0);
  206. alu_func : out alu_function_type;
  207. shift_func : out shift_function_type;
  208. mult_func : out mult_function_type;
  209. branch_func : out branch_function_type;
  210. a_source_out : out a_source_type;
  211. b_source_out : out b_source_type;
  212. c_source_out : out c_source_type;
  213. pc_source_out: out pc_source_type;
  214. mem_source_out:out mem_source_type;
  215. exception_out: out std_logic);
  216. end component;
  217. component reg_bank
  218. generic(memory_type : string := "XILINX_16X");
  219. port(clk : in std_logic;
  220. reset_in : in std_logic;
  221. pause : in std_logic;
  222. rs_index : in std_logic_vector(5 downto 0);
  223. rt_index : in std_logic_vector(5 downto 0);
  224. rd_index : in std_logic_vector(5 downto 0);
  225. reg_source_out : out std_logic_vector(31 downto 0);
  226. reg_target_out : out std_logic_vector(31 downto 0);
  227. reg_dest_new : in std_logic_vector(31 downto 0);
  228. intr_enable : out std_logic);
  229. end component;
  230. component bus_mux
  231. port(imm_in : in std_logic_vector(15 downto 0);
  232. reg_source : in std_logic_vector(31 downto 0);
  233. a_mux : in a_source_type;
  234. a_out : out std_logic_vector(31 downto 0);
  235. reg_target : in std_logic_vector(31 downto 0);
  236. b_mux : in b_source_type;
  237. b_out : out std_logic_vector(31 downto 0);
  238. c_bus : in std_logic_vector(31 downto 0);
  239. c_memory : in std_logic_vector(31 downto 0);
  240. c_pc : in std_logic_vector(31 downto 2);
  241. c_pc_plus4 : in std_logic_vector(31 downto 2);
  242. c_mux : in c_source_type;
  243. reg_dest_out : out std_logic_vector(31 downto 0);
  244. branch_func : in branch_function_type;
  245. take_branch : out std_logic);
  246. end component;
  247. component alu
  248. generic(alu_type : string := "DEFAULT");
  249. port(a_in : in std_logic_vector(31 downto 0);
  250. b_in : in std_logic_vector(31 downto 0);
  251. alu_function : in alu_function_type;
  252. c_alu : out std_logic_vector(31 downto 0));
  253. end component;
  254. component shifter
  255. generic(shifter_type : string := "DEFAULT" );
  256. port(value : in std_logic_vector(31 downto 0);
  257. shift_amount : in std_logic_vector(4 downto 0);
  258. shift_func : in shift_function_type;
  259. c_shift : out std_logic_vector(31 downto 0));
  260. end component;
  261. component mult
  262. generic(mult_type : string := "DEFAULT");
  263. port(clk : in std_logic;
  264. reset_in : in std_logic;
  265. a, b : in std_logic_vector(31 downto 0);
  266. mult_func : in mult_function_type;
  267. c_mult : out std_logic_vector(31 downto 0);
  268. pause_out : out std_logic);
  269. end component;
  270. component pipeline
  271. port(clk : in std_logic;
  272. reset : in std_logic;
  273. a_bus : in std_logic_vector(31 downto 0);
  274. a_busD : out std_logic_vector(31 downto 0);
  275. b_bus : in std_logic_vector(31 downto 0);
  276. b_busD : out std_logic_vector(31 downto 0);
  277. alu_func : in alu_function_type;
  278. alu_funcD : out alu_function_type;
  279. shift_func : in shift_function_type;
  280. shift_funcD : out shift_function_type;
  281. mult_func : in mult_function_type;
  282. mult_funcD : out mult_function_type;
  283. reg_dest : in std_logic_vector(31 downto 0);
  284. reg_destD : out std_logic_vector(31 downto 0);
  285. rd_index : in std_logic_vector(5 downto 0);
  286. rd_indexD : out std_logic_vector(5 downto 0);
  287. rs_index : in std_logic_vector(5 downto 0);
  288. rt_index : in std_logic_vector(5 downto 0);
  289. pc_source : in pc_source_type;
  290. mem_source : in mem_source_type;
  291. a_source : in a_source_type;
  292. b_source : in b_source_type;
  293. c_source : in c_source_type;
  294. c_bus : in std_logic_vector(31 downto 0);
  295. pause_any : in std_logic;
  296. pause_pipeline : out std_logic);
  297. end component;
  298. component mlite_cpu
  299. generic(memory_type : string := "XILINX_16X"; --ALTERA_LPM, or DUAL_PORT_
  300. mult_type : string := "DEFAULT";
  301. shifter_type : string := "DEFAULT";
  302. alu_type : string := "DEFAULT";
  303. pipeline_stages : natural := 2); --2 or 3
  304. port(clk : in std_logic;
  305. reset_in : in std_logic;
  306. intr_in : in std_logic;
  307. address_next : out std_logic_vector(31 downto 2); --for synch ram
  308. byte_we_next : out std_logic_vector(3 downto 0);
  309. address : out std_logic_vector(31 downto 2);
  310. byte_we : out std_logic_vector(3 downto 0);
  311. data_w : out std_logic_vector(31 downto 0);
  312. data_r : in std_logic_vector(31 downto 0);
  313. mem_pause : in std_logic);
  314. end component;
  315. component ram
  316. generic(memory_type : string := "DEFAULT");
  317. port(clk : in std_logic;
  318. enable : in std_logic;
  319. write_byte_enable : in std_logic_vector(3 downto 0);
  320. address : in std_logic_vector(31 downto 2);
  321. data_write : in std_logic_vector(31 downto 0);
  322. data_read : out std_logic_vector(31 downto 0));
  323. end component; --ram
  324. component uart
  325. generic(log_file : string := "UNUSED");
  326. port(clk : in std_logic;
  327. reset : in std_logic;
  328. enable_read : in std_logic;
  329. enable_write : in std_logic;
  330. data_in : in std_logic_vector(7 downto 0);
  331. data_out : out std_logic_vector(7 downto 0);
  332. uart_read : in std_logic;
  333. uart_write : out std_logic;
  334. busy_write : out std_logic;
  335. data_avail : out std_logic);
  336. end component; --uart
  337. component eth_dma
  338. port(clk : in std_logic; --25 MHz
  339. reset : in std_logic;
  340. enable_eth : in std_logic;
  341. select_eth : in std_logic;
  342. rec_isr : out std_logic;
  343. send_isr : out std_logic;
  344. address : out std_logic_vector(31 downto 2); --to DDR
  345. byte_we : out std_logic_vector(3 downto 0);
  346. data_write : out std_logic_vector(31 downto 0);
  347. data_read : in std_logic_vector(31 downto 0);
  348. pause_in : in std_logic;
  349. mem_address : in std_logic_vector(31 downto 2); --from CPU
  350. mem_byte_we : in std_logic_vector(3 downto 0);
  351. data_w : in std_logic_vector(31 downto 0);
  352. pause_out : out std_logic;
  353. E_RX_CLK : in std_logic; --2.5 MHz receive
  354. E_RX_DV : in std_logic; --data valid
  355. E_RXD : in std_logic_vector(3 downto 0); --receive nibble
  356. E_TX_CLK : in std_logic; --2.5 MHz transmit
  357. E_TX_EN : out std_logic; --transmit enable
  358. E_TXD : out std_logic_vector(3 downto 0)); --transmit nibble
  359. end component; --eth_dma
  360. component plasma
  361. generic(memory_type : string := "XILINX_X16"; --"DUAL_PORT_" "ALTERA_LPM";
  362. log_file : string := "UNUSED";
  363. ethernet : std_logic := '0');
  364. port(clk : in std_logic;
  365. reset : in std_logic;
  366. uart_write : out std_logic;
  367. uart_read : in std_logic;
  368. address : out std_logic_vector(31 downto 2);
  369. byte_we : out std_logic_vector(3 downto 0);
  370. data_write : out std_logic_vector(31 downto 0);
  371. data_read : in std_logic_vector(31 downto 0);
  372. mem_pause_in : in std_logic;
  373. gpio0_out : out std_logic_vector(31 downto 0);
  374. gpioA_in : in std_logic_vector(31 downto 0));
  375. end component; --plasma
  376. component ddr_ctrl
  377. port(clk : in std_logic;
  378. clk_2x : in std_logic;
  379. reset_in : in std_logic;
  380. address : in std_logic_vector(25 downto 2);
  381. byte_we : in std_logic_vector(3 downto 0);
  382. data_w : in std_logic_vector(31 downto 0);
  383. data_r : out std_logic_vector(31 downto 0);
  384. active : in std_logic;
  385. pause : out std_logic;
  386. SD_CK_P : out std_logic; --clock_positive
  387. SD_CK_N : out std_logic; --clock_negative
  388. SD_CKE : out std_logic; --clock_enable
  389. SD_BA : out std_logic_vector(1 downto 0); --bank_address
  390. SD_A : out std_logic_vector(12 downto 0); --address(row or col)
  391. SD_CS : out std_logic; --chip_select
  392. SD_RAS : out std_logic; --row_address_strobe
  393. SD_CAS : out std_logic; --column_address_strobe
  394. SD_WE : out std_logic; --write_enable
  395. SD_DQ : inout std_logic_vector(15 downto 0); --data
  396. SD_UDM : out std_logic; --upper_byte_enable
  397. SD_UDQS : inout std_logic; --upper_data_strobe
  398. SD_LDM : out std_logic; --low_byte_enable
  399. SD_LDQS : inout std_logic); --low_data_strobe
  400. end component; --ddr
  401. end; --package mlite_pack
  402. package body mlite_pack is
  403. function bv_adder(a : in std_logic_vector;
  404. b : in std_logic_vector;
  405. do_add: in std_logic) return std_logic_vector is
  406. variable carry_in : std_logic;
  407. variable bb : std_logic_vector(a'length-1 downto 0);
  408. variable result : std_logic_vector(a'length downto 0);
  409. begin
  410. if do_add = '1' then
  411. bb := b;
  412. carry_in := '0';
  413. else
  414. bb := not b;
  415. carry_in := '1';
  416. end if;
  417. for index in 0 to a'length-1 loop
  418. result(index) := a(index) xor bb(index) xor carry_in;
  419. carry_in := (carry_in and (a(index) or bb(index))) or
  420. (a(index) and bb(index));
  421. end loop;
  422. result(a'length) := carry_in xnor do_add;
  423. return result;
  424. end; --function
  425. function bv_negate(a : in std_logic_vector) return std_logic_vector is
  426. variable carry_in : std_logic;
  427. variable not_a : std_logic_vector(a'length-1 downto 0);
  428. variable result : std_logic_vector(a'length-1 downto 0);
  429. begin
  430. not_a := not a;
  431. carry_in := '1';
  432. for index in a'reverse_range loop
  433. result(index) := not_a(index) xor carry_in;
  434. carry_in := carry_in and not_a(index);
  435. end loop;
  436. return result;
  437. end; --function
  438. function bv_increment(a : in std_logic_vector(31 downto 2)
  439. ) return std_logic_vector is
  440. variable carry_in : std_logic;
  441. variable result : std_logic_vector(31 downto 2);
  442. begin
  443. carry_in := '1';
  444. for index in 2 to 31 loop
  445. result(index) := a(index) xor carry_in;
  446. carry_in := a(index) and carry_in;
  447. end loop;
  448. return result;
  449. end; --function
  450. function bv_inc(a : in std_logic_vector
  451. ) return std_logic_vector is
  452. variable carry_in : std_logic;
  453. variable result : std_logic_vector(a'length-1 downto 0);
  454. begin
  455. carry_in := '1';
  456. for index in 0 to a'length-1 loop
  457. result(index) := a(index) xor carry_in;
  458. carry_in := a(index) and carry_in;
  459. end loop;
  460. return result;
  461. end; --function
  462. end; --package body