選択できるのは25トピックまでです。 トピックは、先頭が英数字で、英数字とダッシュ('-')を使用した35文字以内のものにしてください。

209 行
7.2KB

  1. ---------------------------------------------------------------------
  2. -- TITLE: Multiplication and Division Unit
  3. -- AUTHORS: Steve Rhoads (rhoadss@yahoo.com)
  4. -- DATE CREATED: 1/31/01
  5. -- FILENAME: mult.vhd
  6. -- PROJECT: Plasma CPU core
  7. -- COPYRIGHT: Software placed into the public domain by the author.
  8. -- Software 'as is' without warranty. Author liable for nothing.
  9. -- DESCRIPTION:
  10. -- Implements the multiplication and division unit in 32 clocks.
  11. --
  12. -- To reduce space, compile your code using the flag "-mno-mul" which
  13. -- will use software base routines in math.c if USE_SW_MULT is defined.
  14. -- Then remove references to the entity mult in mlite_cpu.vhd.
  15. --
  16. -- MULTIPLICATION
  17. -- long64 answer = 0
  18. -- for(i = 0; i < 32; ++i)
  19. -- {
  20. -- answer = (answer >> 1) + (((b&1)?a:0) << 31);
  21. -- b = b >> 1;
  22. -- }
  23. --
  24. -- DIVISION
  25. -- long upper=a, lower=0;
  26. -- a = b << 31;
  27. -- for(i = 0; i < 32; ++i)
  28. -- {
  29. -- lower = lower << 1;
  30. -- if(upper >= a && a && b < 2)
  31. -- {
  32. -- upper = upper - a;
  33. -- lower |= 1;
  34. -- }
  35. -- a = ((b&2) << 30) | (a >> 1);
  36. -- b = b >> 1;
  37. -- }
  38. ---------------------------------------------------------------------
  39. library ieee;
  40. use ieee.std_logic_1164.all;
  41. use ieee.std_logic_unsigned.all;
  42. use IEEE.std_logic_arith.all;
  43. use work.mlite_pack.all;
  44. entity mult is
  45. generic(mult_type : string := "DEFAULT");
  46. port(clk : in std_logic;
  47. reset_in : in std_logic;
  48. a, b : in std_logic_vector(31 downto 0);
  49. mult_func : in mult_function_type;
  50. c_mult : out std_logic_vector(31 downto 0);
  51. pause_out : out std_logic);
  52. end; --entity mult
  53. architecture logic of mult is
  54. constant MODE_MULT : std_logic := '1';
  55. constant MODE_DIV : std_logic := '0';
  56. signal mode_reg : std_logic;
  57. signal negate_reg : std_logic;
  58. signal sign_reg : std_logic;
  59. signal sign2_reg : std_logic;
  60. signal count_reg : std_logic_vector(5 downto 0);
  61. signal aa_reg : std_logic_vector(31 downto 0);
  62. signal bb_reg : std_logic_vector(31 downto 0);
  63. signal upper_reg : std_logic_vector(31 downto 0);
  64. signal lower_reg : std_logic_vector(31 downto 0);
  65. signal a_neg : std_logic_vector(31 downto 0);
  66. signal b_neg : std_logic_vector(31 downto 0);
  67. signal sum : std_logic_vector(32 downto 0);
  68. begin
  69. -- Result
  70. c_mult <= lower_reg when mult_func = MULT_READ_LO and negate_reg = '0' else
  71. bv_negate(lower_reg) when mult_func = MULT_READ_LO
  72. and negate_reg = '1' else
  73. upper_reg when mult_func = MULT_READ_HI else
  74. ZERO;
  75. pause_out <= '1' when (count_reg /= "000000") and
  76. (mult_func = MULT_READ_LO or mult_func = MULT_READ_HI) else '0';
  77. -- ABS and remainder signals
  78. a_neg <= bv_negate(a);
  79. b_neg <= bv_negate(b);
  80. sum <= bv_adder(upper_reg, aa_reg, mode_reg);
  81. --multiplication/division unit
  82. mult_proc: process(clk, reset_in, a, b, mult_func,
  83. a_neg, b_neg, sum, sign_reg, mode_reg, negate_reg,
  84. count_reg, aa_reg, bb_reg, upper_reg, lower_reg)
  85. variable count : std_logic_vector(2 downto 0);
  86. begin
  87. count := "001";
  88. if reset_in = '1' then
  89. mode_reg <= '0';
  90. negate_reg <= '0';
  91. sign_reg <= '0';
  92. sign2_reg <= '0';
  93. count_reg <= "000000";
  94. aa_reg <= ZERO;
  95. bb_reg <= ZERO;
  96. upper_reg <= ZERO;
  97. lower_reg <= ZERO;
  98. elsif rising_edge(clk) then
  99. case mult_func is
  100. when MULT_WRITE_LO =>
  101. lower_reg <= a;
  102. negate_reg <= '0';
  103. when MULT_WRITE_HI =>
  104. upper_reg <= a;
  105. negate_reg <= '0';
  106. when MULT_MULT =>
  107. mode_reg <= MODE_MULT;
  108. aa_reg <= a;
  109. bb_reg <= b;
  110. upper_reg <= ZERO;
  111. count_reg <= "100000";
  112. negate_reg <= '0';
  113. sign_reg <= '0';
  114. sign2_reg <= '0';
  115. when MULT_SIGNED_MULT =>
  116. mode_reg <= MODE_MULT;
  117. if b(31) = '0' then
  118. aa_reg <= a;
  119. bb_reg <= b;
  120. sign_reg <= a(31);
  121. else
  122. aa_reg <= a_neg;
  123. bb_reg <= b_neg;
  124. sign_reg <= a_neg(31);
  125. end if;
  126. sign2_reg <= '0';
  127. upper_reg <= ZERO;
  128. count_reg <= "100000";
  129. negate_reg <= '0';
  130. when MULT_DIVIDE =>
  131. mode_reg <= MODE_DIV;
  132. aa_reg <= b(0) & ZERO(30 downto 0);
  133. bb_reg <= b;
  134. upper_reg <= a;
  135. count_reg <= "100000";
  136. negate_reg <= '0';
  137. when MULT_SIGNED_DIVIDE =>
  138. mode_reg <= MODE_DIV;
  139. if b(31) = '0' then
  140. aa_reg(31) <= b(0);
  141. bb_reg <= b;
  142. else
  143. aa_reg(31) <= b_neg(0);
  144. bb_reg <= b_neg;
  145. end if;
  146. if a(31) = '0' then
  147. upper_reg <= a;
  148. else
  149. upper_reg <= a_neg;
  150. end if;
  151. aa_reg(30 downto 0) <= ZERO(30 downto 0);
  152. count_reg <= "100000";
  153. negate_reg <= a(31) xor b(31);
  154. when others =>
  155. if count_reg /= "000000" then
  156. if mode_reg = MODE_MULT then
  157. -- Multiplication
  158. if bb_reg(0) = '1' then
  159. upper_reg <= (sign_reg xor sum(32)) & sum(31 downto 1);
  160. lower_reg <= sum(0) & lower_reg(31 downto 1);
  161. sign2_reg <= sign2_reg or sign_reg;
  162. sign_reg <= '0';
  163. bb_reg <= '0' & bb_reg(31 downto 1);
  164. -- The following six lines are optional for speedup
  165. elsif bb_reg(3 downto 0) = "0000" and sign2_reg = '0' and
  166. count_reg(5 downto 2) /= "0000" then
  167. upper_reg <= "0000" & upper_reg(31 downto 4);
  168. lower_reg <= upper_reg(3 downto 0) & lower_reg(31 downto 4);
  169. count := "100";
  170. bb_reg <= "0000" & bb_reg(31 downto 4);
  171. else
  172. upper_reg <= sign2_reg & upper_reg(31 downto 1);
  173. lower_reg <= upper_reg(0) & lower_reg(31 downto 1);
  174. bb_reg <= '0' & bb_reg(31 downto 1);
  175. end if;
  176. else
  177. -- Division
  178. if sum(32) = '0' and aa_reg /= ZERO and
  179. bb_reg(31 downto 1) = ZERO(31 downto 1) then
  180. upper_reg <= sum(31 downto 0);
  181. lower_reg(0) <= '1';
  182. else
  183. lower_reg(0) <= '0';
  184. end if;
  185. aa_reg <= bb_reg(1) & aa_reg(31 downto 1);
  186. lower_reg(31 downto 1) <= lower_reg(30 downto 0);
  187. bb_reg <= '0' & bb_reg(31 downto 1);
  188. end if;
  189. count_reg <= count_reg - count;
  190. end if; --count
  191. end case;
  192. end if;
  193. end process;
  194. end; --architecture logic