Du kan inte välja fler än 25 ämnen Ämnen måste starta med en bokstav eller siffra, kan innehålla bindestreck ('-') och vara max 35 tecken långa.

72 lines
2.1KB

  1. ---------------------------------------------------------------------
  2. -- TITLE: Program Counter Next
  3. -- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
  4. -- DATE CREATED: 2/8/01
  5. -- FILENAME: pc_next.vhd
  6. -- PROJECT: Plasma CPU core
  7. -- COPYRIGHT: Software placed into the public domain by the author.
  8. -- Software 'as is' without warranty. Author liable for nothing.
  9. -- DESCRIPTION:
  10. -- Implements the Program Counter logic.
  11. ---------------------------------------------------------------------
  12. library ieee;
  13. use ieee.std_logic_1164.all;
  14. use work.mlite_pack.all;
  15. entity pc_next is
  16. port(clk : in std_logic;
  17. reset_in : in std_logic;
  18. pc_new : in std_logic_vector(31 downto 2);
  19. take_branch : in std_logic;
  20. pause_in : in std_logic;
  21. opcode25_0 : in std_logic_vector(25 downto 0);
  22. pc_source : in pc_source_type;
  23. pc_future : out std_logic_vector(31 downto 2);
  24. pc_current : out std_logic_vector(31 downto 2);
  25. pc_plus4 : out std_logic_vector(31 downto 2));
  26. end; --pc_next
  27. architecture logic of pc_next is
  28. signal pc_reg : std_logic_vector(31 downto 2);
  29. begin
  30. pc_select: process(clk, reset_in, pc_new, take_branch, pause_in,
  31. opcode25_0, pc_source, pc_reg)
  32. variable pc_inc : std_logic_vector(31 downto 2);
  33. variable pc_next : std_logic_vector(31 downto 2);
  34. begin
  35. pc_inc := bv_increment(pc_reg); --pc_reg+1
  36. case pc_source is
  37. when FROM_INC4 =>
  38. pc_next := pc_inc;
  39. when FROM_OPCODE25_0 =>
  40. pc_next := pc_reg(31 downto 28) & opcode25_0;
  41. when FROM_BRANCH | FROM_LBRANCH =>
  42. if take_branch = '1' then
  43. pc_next := pc_new;
  44. else
  45. pc_next := pc_inc;
  46. end if;
  47. when others =>
  48. pc_next := pc_inc;
  49. end case;
  50. if pause_in = '1' then
  51. pc_next := pc_reg;
  52. end if;
  53. if reset_in = '1' then
  54. pc_reg <= ZERO(31 downto 2);
  55. pc_next := pc_reg;
  56. elsif rising_edge(clk) then
  57. pc_reg <= pc_next;
  58. end if;
  59. pc_future <= pc_next;
  60. pc_current <= pc_reg;
  61. pc_plus4 <= pc_inc;
  62. end process;
  63. end; --logic