選択できるのは25トピックまでです。 トピックは、先頭が英数字で、英数字とダッシュ('-')を使用した35文字以内のものにしてください。

130 行
4.1KB

  1. ---------------------------------------------------------------------
  2. -- TITLE: Plamsa Interface (clock divider and interface to FPGA board)
  3. -- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
  4. -- DATE CREATED: 6/6/02
  5. -- FILENAME: plasma_if.vhd
  6. -- PROJECT: Plasma CPU core
  7. -- COPYRIGHT: Software placed into the public domain by the author.
  8. -- Software 'as is' without warranty. Author liable for nothing.
  9. -- DESCRIPTION:
  10. -- This entity divides the clock by two and interfaces to the
  11. -- Altera EP20K200EFC484-2X FPGA board.
  12. -- Xilinx Spartan-3 XC3S200FT256-4 FPGA.
  13. ---------------------------------------------------------------------
  14. library ieee;
  15. use ieee.std_logic_1164.all;
  16. --use work.mlite_pack.all;
  17. entity plasma_if is
  18. port(clk_in : in std_logic;
  19. reset : in std_logic;
  20. uart_console_read : in std_logic;
  21. uart_console_write : out std_logic;
  22. spi_miso : out std_logic;
  23. spi_mosi :in std_logic;
  24. spi_clk : in std_logic;
  25. spi_cs : in std_logic;
  26. gpio0_out : out std_logic_vector(2 downto 0);
  27. gpioA_in : in std_logic_vector(1 downto 0);
  28. pwm_out : out std_logic_vector(23 downto 0));
  29. end; --entity plasma_if
  30. architecture logic of plasma_if is
  31. component plasma
  32. generic(memory_type : string := "XILINX_16X"; --"DUAL_PORT_" "ALTERA_LPM";
  33. log_file : string := "UNUSED");
  34. port(clk : in std_logic;
  35. clk66 : in std_logic;
  36. reset : in std_logic;
  37. uart_write : out std_logic;
  38. uart_read : in std_logic;
  39. address : out std_logic_vector(31 downto 2);
  40. byte_we : out std_logic_vector(3 downto 0);
  41. data_write : out std_logic_vector(31 downto 0);
  42. data_read : in std_logic_vector(31 downto 0);
  43. mem_pause_in : in std_logic;
  44. gpio0_out : out std_logic_vector(31 downto 0);
  45. gpioA_in : in std_logic_vector(31 downto 0);
  46. pwm_out : out std_logic_vector(23 downto 0);
  47. spi_miso : out std_logic;
  48. spi_mosi : in std_logic;
  49. spi_clk : in std_logic;
  50. spi_cs : in std_logic);
  51. end component; --plasma
  52. signal clk_reg : std_logic;
  53. signal we_n_next : std_logic;
  54. signal we_n_reg : std_logic;
  55. signal mem_address : std_logic_vector(31 downto 2);
  56. signal data_write : std_logic_vector(31 downto 0);
  57. signal data_reg : std_logic_vector(31 downto 0);
  58. signal byte_we : std_logic_vector(3 downto 0);
  59. signal mem_pause_in : std_logic;
  60. signal gpio0_out_reg : std_logic_vector(31 downto 0);
  61. signal gpioA_in_reg : std_logic_vector(31 downto 0);
  62. signal uart_plasma_console_write : std_logic;
  63. signal uart_plasma_console_read : std_logic;
  64. signal consel : std_logic;
  65. begin --architecture
  66. --Divide 50 MHz clock by two
  67. clk_div: process(reset, clk_in, clk_reg, we_n_next)
  68. begin
  69. if reset = '0' then
  70. clk_reg <= '0';
  71. elsif rising_edge(clk_in) then
  72. clk_reg <= not clk_reg;
  73. end if;
  74. end process; --clk_div
  75. mem_pause_in <= '0';
  76. gpio0_out(2 downto 0)<=gpio0_out_reg(2 downto 0);
  77. gpioA_in_reg(1 downto 0)<=gpioA_in(1 downto 0);
  78. gpioA_in_reg(31 downto 2)<=(others=>'0');
  79. u1_plasma: plasma
  80. generic map (memory_type => "XILINX_16X",
  81. log_file => "UNUSED")
  82. PORT MAP (
  83. clk => clk_reg,
  84. clk66 => clk_in,
  85. reset => not reset,
  86. uart_write => uart_plasma_console_write,
  87. uart_read => uart_plasma_console_read,
  88. address => mem_address,
  89. byte_we => byte_we,
  90. data_write => data_write,
  91. data_read => data_reg,
  92. mem_pause_in => mem_pause_in,
  93. gpio0_out => gpio0_out_reg,
  94. gpioA_in => gpioA_in_reg,
  95. pwm_out => pwm_out,
  96. spi_mosi => spi_mosi,
  97. spi_miso => spi_miso,
  98. spi_clk => spi_clk,
  99. spi_cs => spi_cs
  100. );
  101. uart_console_write <=uart_plasma_console_write;
  102. uart_plasma_console_read <=uart_console_read;
  103. end; --architecture logic