Nie możesz wybrać więcej, niż 25 tematów Tematy muszą się zaczynać od litery lub cyfry, mogą zawierać myślniki ('-') i mogą mieć do 35 znaków.

130 wiersze
3.3KB

  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 16:04:28 12/29/2008
  6. -- Design Name:
  7. -- Module Name: pwm2 - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22. use IEEE.STD_LOGIC_ARITH.ALL;
  23. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  24. ---- Uncomment the following library declaration if instantiating
  25. ---- any Xilinx primitives in this code.
  26. library UNISIM;
  27. use UNISIM.VComponents.all;
  28. entity pwm2 is
  29. Port ( clk : in STD_LOGIC;
  30. clk66 : in std_logic;
  31. reset : in STD_LOGIC;
  32. address : in STD_LOGIC_VECTOR (31 downto 2);
  33. enable : in std_logic;
  34. byte_we : in std_logic_vector(3 downto 0);
  35. data_read : out std_logic_vector(31 downto 0);
  36. data_write : in STD_LOGIC_VECTOR (31 downto 0);
  37. pwm_out : out STD_LOGIC_VECTOR (23 downto 0));
  38. end pwm2;
  39. architecture Behavioral of pwm2 is
  40. signal counter_66 : std_logic_vector(19 downto 0) := (others=>'0'); -- runs from 0 to 2^20-1=1048575 @ 66 MHz -> 62 Hz/15.9 ms
  41. signal pwm_ram_addr : std_logic_vector(10 downto 0);
  42. signal pwm_ram_out : std_logic_vector(15 downto 0);
  43. signal pwm_counter : std_logic_vector(14 downto 0) := (others=>'0');
  44. signal pwm_index : std_logic_vector(4 downto 0) := (others=>'0');
  45. begin
  46. counter_66_proc: process(clk66,reset) is
  47. begin
  48. if(reset='1') then
  49. counter_66<=(others=>'0');
  50. pwm_out<=(others=>'0');
  51. else
  52. if rising_edge(clk66) then
  53. pwm_counter<=counter_66(17 downto 3);
  54. pwm_index<=pwm_ram_addr(4 downto 0);
  55. counter_66<=counter_66+1;
  56. if(pwm_ram_out="000000000000000") then
  57. pwm_out(conv_integer(pwm_index))<='0';
  58. else
  59. if(pwm_counter<4096) then
  60. pwm_out(conv_integer(pwm_index))<='1';
  61. elsif(pwm_counter>20480) then
  62. pwm_out(conv_integer(pwm_index))<='0';
  63. elsif(pwm_counter<pwm_ram_out) then
  64. pwm_out(conv_integer(pwm_index))<='1';
  65. else
  66. pwm_out(conv_integer(pwm_index))<='0';
  67. end if;
  68. end if;
  69. end if;
  70. end if;
  71. end process;
  72. pwm_ram_addr<="000000"&counter_66(2 downto 0)&counter_66(19 downto 18);
  73. register_block_lo: RAMB16_S9_S9
  74. port map (
  75. CLKA => clk,
  76. ENA => enable,
  77. WEA => byte_we(0),
  78. ADDRA => address(12 downto 2),
  79. DIA => data_write(7 downto 0),
  80. DOA => data_read(7 downto 0),
  81. DIPA => (others=>'0'),
  82. DOPA => open,
  83. SSRA => '0',
  84. CLKB => clk66,
  85. ADDRB => pwm_ram_addr,
  86. DIB => "00000000",
  87. DOB => pwm_ram_out(7 downto 0),
  88. DIPB => (others=>'0'),
  89. DOPB => open,
  90. ENB => '1',
  91. SSRB => '0',
  92. WEB => '0');
  93. register_block_hi: RAMB16_S9_S9
  94. port map (
  95. CLKA => clk,
  96. ENA => enable,
  97. WEA => byte_we(1),
  98. ADDRA => address(12 downto 2),
  99. DIA => data_write(15 downto 8),
  100. DOA => data_read(15 downto 8),
  101. DIPA => (others=>'0'),
  102. DOPA => open,
  103. SSRA => '0',
  104. CLKB => clk66,
  105. ENB => '1',
  106. WEB => '0',
  107. ADDRB => pwm_ram_addr,
  108. DIB => "00000000",
  109. DOB => pwm_ram_out(15 downto 8),
  110. DOPB => open,
  111. SSRB => '0');
  112. end Behavioral;