Vous ne pouvez pas sélectionner plus de 25 sujets Les noms de sujets doivent commencer par une lettre ou un nombre, peuvent contenir des tirets ('-') et peuvent comporter jusqu'à 35 caractères.

322 lignes
13KB

  1. ---------------------------------------------------------------------
  2. -- TITLE: Register Bank
  3. -- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
  4. -- DATE CREATED: 2/2/01
  5. -- FILENAME: reg_bank.vhd
  6. -- PROJECT: Plasma CPU core
  7. -- COPYRIGHT: Software placed into the public domain by the author.
  8. -- Software 'as is' without warranty. Author liable for nothing.
  9. -- DESCRIPTION:
  10. -- Implements a register bank with 32 registers that are 32-bits wide.
  11. -- There are two read-ports and one write port.
  12. ---------------------------------------------------------------------
  13. library ieee;
  14. use ieee.std_logic_1164.all;
  15. use ieee.std_logic_unsigned.all;
  16. use work.mlite_pack.all;
  17. entity reg_bank is
  18. generic(memory_type : string := "XILINX_16X");
  19. port(clk : in std_logic;
  20. reset_in : in std_logic;
  21. pause : in std_logic;
  22. rs_index : in std_logic_vector(5 downto 0);
  23. rt_index : in std_logic_vector(5 downto 0);
  24. rd_index : in std_logic_vector(5 downto 0);
  25. reg_source_out : out std_logic_vector(31 downto 0);
  26. reg_target_out : out std_logic_vector(31 downto 0);
  27. reg_dest_new : in std_logic_vector(31 downto 0);
  28. intr_enable : out std_logic);
  29. end; --entity reg_bank
  30. --------------------------------------------------------------------
  31. -- The ram_block architecture attempts to use TWO dual-port memories.
  32. -- Different FPGAs and ASICs need different implementations.
  33. -- Choose one of the RAM implementations below.
  34. -- I need feedback on this section!
  35. --------------------------------------------------------------------
  36. architecture ram_block of reg_bank is
  37. signal intr_enable_reg : std_logic;
  38. type ram_type is array(31 downto 0) of std_logic_vector(31 downto 0);
  39. --controls access to dual-port memories
  40. signal addr_read1, addr_read2 : std_logic_vector(4 downto 0);
  41. signal addr_write : std_logic_vector(4 downto 0);
  42. signal data_out1, data_out2 : std_logic_vector(31 downto 0);
  43. signal write_enable : std_logic;
  44. begin
  45. reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
  46. intr_enable_reg, data_out1, data_out2, reset_in, pause)
  47. begin
  48. --setup for first dual-port memory
  49. if rs_index = "101110" then --reg_epc CP0 14
  50. addr_read1 <= "00000";
  51. else
  52. addr_read1 <= rs_index(4 downto 0);
  53. end if;
  54. case rs_index is
  55. when "000000" => reg_source_out <= ZERO;
  56. when "101100" => reg_source_out <= ZERO(31 downto 1) & intr_enable_reg;
  57. --interrupt vector address = 0x3c
  58. when "111111" => reg_source_out <= ZERO(31 downto 8) & "00111100";
  59. when others => reg_source_out <= data_out1;
  60. end case;
  61. --setup for second dual-port memory
  62. addr_read2 <= rt_index(4 downto 0);
  63. case rt_index is
  64. when "000000" => reg_target_out <= ZERO;
  65. when others => reg_target_out <= data_out2;
  66. end case;
  67. --setup write port for both dual-port memories
  68. if rd_index /= "000000" and rd_index /= "101100" and pause = '0' then
  69. write_enable <= '1';
  70. else
  71. write_enable <= '0';
  72. end if;
  73. if rd_index = "101110" then --reg_epc CP0 14
  74. addr_write <= "00000";
  75. else
  76. addr_write <= rd_index(4 downto 0);
  77. end if;
  78. if reset_in = '1' then
  79. intr_enable_reg <= '0';
  80. elsif rising_edge(clk) then
  81. if rd_index = "101110" then --reg_epc CP0 14
  82. intr_enable_reg <= '0'; --disable interrupts
  83. elsif rd_index = "101100" then
  84. intr_enable_reg <= reg_dest_new(0);
  85. end if;
  86. end if;
  87. intr_enable <= intr_enable_reg;
  88. end process;
  89. --------------------------------------------------------------
  90. ---- Pick only ONE of the dual-port RAM implementations below!
  91. --------------------------------------------------------------
  92. -- Option #1
  93. -- One tri-port RAM, two read-ports, one write-port
  94. -- 32 registers 32-bits wide
  95. tri_port_mem:
  96. if memory_type = "TRI_PORT_X" generate
  97. ram_proc: process(clk, addr_read1, addr_read2,
  98. addr_write, reg_dest_new, write_enable)
  99. variable tri_port_ram : ram_type;
  100. begin
  101. data_out1 <= tri_port_ram(conv_integer(addr_read1));
  102. data_out2 <= tri_port_ram(conv_integer(addr_read2));
  103. if rising_edge(clk) then
  104. if write_enable = '1' then
  105. tri_port_ram(conv_integer(addr_write)) := reg_dest_new;
  106. end if;
  107. end if;
  108. end process;
  109. end generate; --tri_port_mem
  110. -- Option #2
  111. -- Two dual-port RAMs, each with one read-port and one write-port
  112. dual_port_mem:
  113. if memory_type = "DUAL_PORT_" generate
  114. ram_proc2: process(clk, addr_read1, addr_read2,
  115. addr_write, reg_dest_new, write_enable)
  116. variable dual_port_ram1 : ram_type;
  117. variable dual_port_ram2 : ram_type;
  118. begin
  119. data_out1 <= dual_port_ram1(conv_integer(addr_read1));
  120. data_out2 <= dual_port_ram2(conv_integer(addr_read2));
  121. if rising_edge(clk) then
  122. if write_enable = '1' then
  123. dual_port_ram1(conv_integer(addr_write)) := reg_dest_new;
  124. dual_port_ram2(conv_integer(addr_write)) := reg_dest_new;
  125. end if;
  126. end if;
  127. end process;
  128. end generate; --dual_port_mem
  129. -- Option #3
  130. -- RAM16X1D: 16 x 1 positive edge write, asynchronous read dual-port
  131. -- distributed RAM for all Xilinx FPGAs
  132. -- From library UNISIM; use UNISIM.vcomponents.all;
  133. xilinx_16x1d:
  134. if memory_type = "XILINX_16X" generate
  135. signal data_out1A, data_out1B : std_logic_vector(31 downto 0);
  136. signal data_out2A, data_out2B : std_logic_vector(31 downto 0);
  137. signal weA, weB : std_logic;
  138. begin
  139. weA <= write_enable and not addr_write(4); --lower 16 registers
  140. weB <= write_enable and addr_write(4); --upper 16 registers
  141. reg_loop: for i in 0 to 31 generate
  142. begin
  143. --Read port 1 lower 16 registers
  144. reg_bit1a : RAM16X1D
  145. port map (
  146. WCLK => clk, -- Port A write clock input
  147. WE => weA, -- Port A write enable input
  148. A0 => addr_write(0), -- Port A address[0] input bit
  149. A1 => addr_write(1), -- Port A address[1] input bit
  150. A2 => addr_write(2), -- Port A address[2] input bit
  151. A3 => addr_write(3), -- Port A address[3] input bit
  152. D => reg_dest_new(i), -- Port A 1-bit data input
  153. DPRA0 => addr_read1(0), -- Port B address[0] input bit
  154. DPRA1 => addr_read1(1), -- Port B address[1] input bit
  155. DPRA2 => addr_read1(2), -- Port B address[2] input bit
  156. DPRA3 => addr_read1(3), -- Port B address[3] input bit
  157. DPO => data_out1A(i), -- Port B 1-bit data output
  158. SPO => open -- Port A 1-bit data output
  159. );
  160. --Read port 1 upper 16 registers
  161. reg_bit1b : RAM16X1D
  162. port map (
  163. WCLK => clk, -- Port A write clock input
  164. WE => weB, -- Port A write enable input
  165. A0 => addr_write(0), -- Port A address[0] input bit
  166. A1 => addr_write(1), -- Port A address[1] input bit
  167. A2 => addr_write(2), -- Port A address[2] input bit
  168. A3 => addr_write(3), -- Port A address[3] input bit
  169. D => reg_dest_new(i), -- Port A 1-bit data input
  170. DPRA0 => addr_read1(0), -- Port B address[0] input bit
  171. DPRA1 => addr_read1(1), -- Port B address[1] input bit
  172. DPRA2 => addr_read1(2), -- Port B address[2] input bit
  173. DPRA3 => addr_read1(3), -- Port B address[3] input bit
  174. DPO => data_out1B(i), -- Port B 1-bit data output
  175. SPO => open -- Port A 1-bit data output
  176. );
  177. --Read port 2 lower 16 registers
  178. reg_bit2a : RAM16X1D
  179. port map (
  180. WCLK => clk, -- Port A write clock input
  181. WE => weA, -- Port A write enable input
  182. A0 => addr_write(0), -- Port A address[0] input bit
  183. A1 => addr_write(1), -- Port A address[1] input bit
  184. A2 => addr_write(2), -- Port A address[2] input bit
  185. A3 => addr_write(3), -- Port A address[3] input bit
  186. D => reg_dest_new(i), -- Port A 1-bit data input
  187. DPRA0 => addr_read2(0), -- Port B address[0] input bit
  188. DPRA1 => addr_read2(1), -- Port B address[1] input bit
  189. DPRA2 => addr_read2(2), -- Port B address[2] input bit
  190. DPRA3 => addr_read2(3), -- Port B address[3] input bit
  191. DPO => data_out2A(i), -- Port B 1-bit data output
  192. SPO => open -- Port A 1-bit data output
  193. );
  194. --Read port 2 upper 16 registers
  195. reg_bit2b : RAM16X1D
  196. port map (
  197. WCLK => clk, -- Port A write clock input
  198. WE => weB, -- Port A write enable input
  199. A0 => addr_write(0), -- Port A address[0] input bit
  200. A1 => addr_write(1), -- Port A address[1] input bit
  201. A2 => addr_write(2), -- Port A address[2] input bit
  202. A3 => addr_write(3), -- Port A address[3] input bit
  203. D => reg_dest_new(i), -- Port A 1-bit data input
  204. DPRA0 => addr_read2(0), -- Port B address[0] input bit
  205. DPRA1 => addr_read2(1), -- Port B address[1] input bit
  206. DPRA2 => addr_read2(2), -- Port B address[2] input bit
  207. DPRA3 => addr_read2(3), -- Port B address[3] input bit
  208. DPO => data_out2B(i), -- Port B 1-bit data output
  209. SPO => open -- Port A 1-bit data output
  210. );
  211. end generate; --reg_loop
  212. data_out1 <= data_out1A when addr_read1(4)='0' else data_out1B;
  213. data_out2 <= data_out2A when addr_read2(4)='0' else data_out2B;
  214. end generate; --xilinx_16x1d
  215. -- Option #4
  216. -- Altera LPM_RAM_DP
  217. -- Xilinx users may need to comment out this section!!!
  218. -- altera_mem:
  219. -- if memory_type = "ALTERA_LPM" generate
  220. -- signal clk_delayed : std_logic;
  221. -- signal addr_reg : std_logic_vector(4 downto 0);
  222. -- signal data_reg : std_logic_vector(31 downto 0);
  223. -- signal q1 : std_logic_vector(31 downto 0);
  224. -- signal q2 : std_logic_vector(31 downto 0);
  225. -- begin
  226. -- -- Altera dual port RAMs must have the addresses registered (sampled
  227. -- -- at the rising edge). This is very unfortunate.
  228. -- -- Therefore, the dual port RAM read clock must delayed so that
  229. -- -- the read address signal can be sent from the mem_ctrl block.
  230. -- -- This solution also delays the how fast the registers are read so the
  231. -- -- maximum clock speed is cut in half (12.5 MHz instead of 25 MHz).
  232. --
  233. -- clk_delayed <= not clk; --Could be delayed by 1/4 clock cycle instead
  234. -- dpram_bypass: process(clk, addr_write, reg_dest_new)
  235. -- begin
  236. -- if rising_edge(clk) and write_enable = '1' then
  237. -- addr_reg <= addr_write;
  238. -- data_reg <= reg_dest_new;
  239. -- end if;
  240. -- end process; --dpram_bypass
  241. --
  242. -- -- Bypass dpram if reading what was just written (Altera limitation)
  243. -- data_out1 <= q1 when addr_read1 /= addr_reg else data_reg;
  244. -- data_out2 <= q2 when addr_read2 /= addr_reg else data_reg;
  245. --
  246. -- lpm_ram_dp_component1 : lpm_ram_dp
  247. -- generic map (
  248. -- LPM_WIDTH => 32,
  249. -- LPM_WIDTHAD => 5,
  250. -- --LPM_NUMWORDS => 0,
  251. -- LPM_INDATA => "REGISTERED",
  252. -- LPM_OUTDATA => "UNREGISTERED",
  253. -- LPM_RDADDRESS_CONTROL => "REGISTERED",
  254. -- LPM_WRADDRESS_CONTROL => "REGISTERED",
  255. -- LPM_FILE => "UNUSED",
  256. -- LPM_TYPE => "LPM_RAM_DP",
  257. -- USE_EAB => "ON",
  258. -- INTENDED_DEVICE_FAMILY => "UNUSED",
  259. -- RDEN_USED => "FALSE",
  260. -- LPM_HINT => "UNUSED")
  261. -- port map (
  262. -- RDCLOCK => clk_delayed,
  263. -- RDCLKEN => '1',
  264. -- RDADDRESS => addr_read1,
  265. -- RDEN => '1',
  266. -- DATA => reg_dest_new,
  267. -- WRADDRESS => addr_write,
  268. -- WREN => write_enable,
  269. -- WRCLOCK => clk,
  270. -- WRCLKEN => '1',
  271. -- Q => q1);
  272. -- lpm_ram_dp_component2 : lpm_ram_dp
  273. -- generic map (
  274. -- LPM_WIDTH => 32,
  275. -- LPM_WIDTHAD => 5,
  276. -- --LPM_NUMWORDS => 0,
  277. -- LPM_INDATA => "REGISTERED",
  278. -- LPM_OUTDATA => "UNREGISTERED",
  279. -- LPM_RDADDRESS_CONTROL => "REGISTERED",
  280. -- LPM_WRADDRESS_CONTROL => "REGISTERED",
  281. -- LPM_FILE => "UNUSED",
  282. -- LPM_TYPE => "LPM_RAM_DP",
  283. -- USE_EAB => "ON",
  284. -- INTENDED_DEVICE_FAMILY => "UNUSED",
  285. -- RDEN_USED => "FALSE",
  286. -- LPM_HINT => "UNUSED")
  287. -- port map (
  288. -- RDCLOCK => clk_delayed,
  289. -- RDCLKEN => '1',
  290. -- RDADDRESS => addr_read2,
  291. -- RDEN => '1',
  292. -- DATA => reg_dest_new,
  293. -- WRADDRESS => addr_write,
  294. -- WREN => write_enable,
  295. -- WRCLOCK => clk,
  296. -- WRCLKEN => '1',
  297. -- Q => q2);
  298. -- end generate; --altera_mem
  299. end; --architecture ram_block