Nelze vybrat více než 25 témat Téma musí začínat písmenem nebo číslem, může obsahovat pomlčky („-“) a může být dlouhé až 35 znaků.

302 řádky
10KB

  1. ---------------------------------------------------------------------
  2. -- TITLE: Plasma (CPU core with memory)
  3. -- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
  4. -- DATE CREATED: 6/4/02
  5. -- FILENAME: plasma.vhd
  6. -- PROJECT: Plasma CPU core
  7. -- COPYRIGHT: Software placed into the public domain by the author.
  8. -- Software 'as is' without warranty. Author liable for nothing.
  9. -- DESCRIPTION:
  10. -- This entity combines the CPU core with memory and a UART.
  11. --
  12. -- Memory Map:
  13. -- 0x00000000 - 0x0000ffff Internal RAM (8KB)
  14. -- 0x10000000 - 0x100fffff External RAM (1MB)
  15. -- Access all Misc registers with 32-bit accesses
  16. -- 0x20000000 Uart Write (will pause CPU if busy)
  17. -- 0x20000000 Uart Read
  18. -- 0x20000010 IRQ Mask
  19. -- 0x20000020 IRQ Status
  20. -- 0x20000030 GPIO0 Out Set bits
  21. -- 0x20000040 GPIO0 Out Clear bits
  22. -- 0x20000050 GPIOA In
  23. -- 0x20000060 Counter
  24. -- 0x20000070 Ethernet transmit count
  25. -- IRQ bits:
  26. -- 7 GPIO31
  27. -- 6 ^GPIO31
  28. -- 5 EthernetSendDone
  29. -- 4 EthernetReceive
  30. -- 3 Counter(18)
  31. -- 2 ^Counter(18)
  32. -- 1 ^UartWriteBusy
  33. -- 0 UartDataAvailable
  34. ---------------------------------------------------------------------
  35. library ieee;
  36. use ieee.std_logic_1164.all;
  37. use work.mlite_pack.all;
  38. entity plasma is
  39. generic(memory_type : string := "XILINX_16X"; --"DUAL_PORT_" "ALTERA_LPM";
  40. log_file : string := "UNUSED");
  41. port(clk : in std_logic;
  42. clk66 : in std_logic;
  43. reset : in std_logic;
  44. uart_write : out std_logic;
  45. uart_read : in std_logic;
  46. address : out std_logic_vector(31 downto 2);
  47. byte_we : out std_logic_vector(3 downto 0);
  48. data_write : out std_logic_vector(31 downto 0);
  49. data_read : in std_logic_vector(31 downto 0);
  50. mem_pause_in : in std_logic;
  51. gpio0_out : out std_logic_vector(31 downto 0);
  52. gpioA_in : in std_logic_vector(31 downto 0);
  53. pwm_out : out std_logic_vector(23 downto 0);
  54. spi_miso : out std_logic;
  55. spi_mosi : in std_logic;
  56. spi_clk : in std_logic;
  57. spi_cs : in std_logic);
  58. end; --entity plasma
  59. architecture logic of plasma is
  60. component pwm2 is
  61. Port ( clk : in STD_LOGIC;
  62. clk66 : in std_logic;
  63. reset : in STD_LOGIC;
  64. address : in STD_LOGIC_VECTOR (31 downto 2);
  65. enable : in std_logic;
  66. byte_we : in std_logic_vector(3 downto 0);
  67. data_read : out std_logic_vector(31 downto 0);
  68. data_write : in STD_LOGIC_VECTOR (31 downto 0);
  69. pwm_out : out STD_LOGIC_VECTOR (23 downto 0));
  70. end component;
  71. component spi is
  72. Port ( clk : in STD_LOGIC;
  73. clk66 : in std_logic;
  74. reset : in STD_LOGIC;
  75. address : in STD_LOGIC_VECTOR (31 downto 2);
  76. enable : in std_logic;
  77. byte_we : in std_logic_vector(3 downto 0);
  78. data_read : out std_logic_vector(31 downto 0);
  79. data_write : in STD_LOGIC_VECTOR (31 downto 0);
  80. spi_miso : out STD_LOGIC;
  81. spi_mosi : in STD_LOGIC;
  82. spi_clk : in STD_LOGIC;
  83. spi_cs : in STD_LOGIC);
  84. end component;
  85. signal address_next : std_logic_vector(31 downto 2);
  86. signal byte_we_next : std_logic_vector(3 downto 0);
  87. signal mem_address : std_logic_vector(31 downto 2);
  88. signal mem_byte_we : std_logic_vector(3 downto 0);
  89. signal data_r : std_logic_vector(31 downto 0);
  90. signal data_w : std_logic_vector(31 downto 0);
  91. signal data_read_ram : std_logic_vector(31 downto 0);
  92. signal data_read_ram2 : std_logic_vector(31 downto 0);
  93. signal data_read_pwm : std_logic_vector(31 downto 0);
  94. signal data_read_spi : std_logic_vector(31 downto 0);
  95. signal data_read_uart : std_logic_vector(7 downto 0);
  96. signal write_enable : std_logic;
  97. signal mem_pause : std_logic;
  98. signal eth_pause : std_logic;
  99. signal enable_internal_ram : std_logic;
  100. signal enable_internal_ram2 : std_logic;
  101. signal enable_misc : std_logic;
  102. signal enable_uart : std_logic;
  103. signal enable_uart_read : std_logic;
  104. signal enable_uart_write : std_logic;
  105. signal enable_spi : std_logic;
  106. signal enable_pwm : std_logic;
  107. signal gpio0_reg : std_logic_vector(31 downto 0);
  108. signal uart_write_busy : std_logic;
  109. signal uart_data_avail : std_logic;
  110. signal irq_mask_reg : std_logic_vector(7 downto 0);
  111. signal irq_status : std_logic_vector(7 downto 0);
  112. signal irq : std_logic;
  113. signal irq_eth_rec : std_logic;
  114. signal irq_eth_send : std_logic;
  115. signal counter_reg : std_logic_vector(31 downto 0);
  116. begin --architecture
  117. write_enable <= '1' when mem_byte_we /= "0000" else '0';
  118. mem_pause <= ((mem_pause_in or eth_pause) and not enable_misc) or
  119. (uart_write_busy and enable_uart and write_enable);
  120. irq_status <= gpioA_in(31) & not gpioA_in(31) &
  121. '0' & '0' &
  122. counter_reg(18) & not counter_reg(18) &
  123. not uart_write_busy & uart_data_avail;
  124. irq <= '1' when (irq_status and irq_mask_reg) /= ZERO(7 downto 0) else '0';
  125. gpio0_out(31 downto 29) <= gpio0_reg(31 downto 29);
  126. gpio0_out(23 downto 0) <= gpio0_reg(23 downto 0);
  127. enable_internal_ram <= '1' when address_next(30 downto 28) = "000" else '0';
  128. enable_internal_ram2 <= '1' when address_next(30 downto 28) = "001" else '0';
  129. enable_misc <= '1' when mem_address(30 downto 28) = "010" else '0';
  130. enable_uart <= '1' when enable_misc = '1' and mem_address(7 downto 4) = "0000" else '0';
  131. enable_uart_read <= enable_uart and not write_enable;
  132. enable_uart_write <= enable_uart and write_enable;
  133. enable_pwm <= '1' when address_next(30 downto 28) = "100" else '0';
  134. enable_spi <= '1' when address_next(30 downto 28) = "101" else '0';
  135. u1_cpu: mlite_cpu
  136. generic map (memory_type => memory_type)
  137. PORT MAP (
  138. clk => clk,
  139. reset_in => reset,
  140. intr_in => irq,
  141. address_next => address_next,
  142. byte_we_next => byte_we_next,
  143. address => mem_address,
  144. byte_we => mem_byte_we,
  145. data_w => data_w,
  146. data_r => data_r,
  147. mem_pause => mem_pause);
  148. misc_proc: process(clk, reset, address_next, mem_address, enable_misc,
  149. data_read_ram, data_read, data_read_uart, mem_pause,
  150. irq_mask_reg, irq_status, gpio0_reg, write_enable,
  151. gpioA_in, counter_reg, data_w)
  152. begin
  153. case mem_address(30 downto 28) is
  154. when "000" => --internal RAM
  155. data_r <= data_read_ram;
  156. when "001" => --external RAM
  157. data_r <= data_read_ram2;
  158. when "010" => --misc
  159. case mem_address(7 downto 4) is
  160. when "0000" => --uart
  161. data_r <= ZERO(31 downto 8) & data_read_uart;
  162. when "0001" => --irq_mask
  163. data_r <= ZERO(31 downto 8) & irq_mask_reg;
  164. when "0010" => --irq_status
  165. data_r <= ZERO(31 downto 8) & irq_status;
  166. when "0011" => --gpio0
  167. data_r <= gpio0_reg;
  168. when "0101" => --gpioA
  169. data_r <= gpioA_in;
  170. when "0110" => --counter
  171. data_r <= counter_reg;
  172. when others =>
  173. data_r <= gpioA_in;
  174. end case;
  175. when "011" => --flash
  176. data_r <= data_read;
  177. when "100" =>
  178. data_r <= data_read_pwm;
  179. when "101" =>
  180. data_r <= data_read_spi;
  181. when others =>
  182. data_r <= ZERO;
  183. end case;
  184. if reset = '1' then
  185. irq_mask_reg <= ZERO(7 downto 0);
  186. gpio0_reg <= ZERO;
  187. counter_reg <= ZERO;
  188. elsif rising_edge(clk) then
  189. if mem_pause = '0' then
  190. if enable_misc = '1' and write_enable = '1' then
  191. if mem_address(6 downto 4) = "001" then
  192. irq_mask_reg <= data_w(7 downto 0);
  193. elsif mem_address(6 downto 4) = "011" then
  194. gpio0_reg <= gpio0_reg or data_w;
  195. elsif mem_address(6 downto 4) = "100" then
  196. gpio0_reg <= gpio0_reg and not data_w;
  197. end if;
  198. end if;
  199. end if;
  200. counter_reg <= bv_inc(counter_reg);
  201. end if;
  202. end process;
  203. u2_ram: ram
  204. generic map (memory_type => memory_type)
  205. port map (
  206. clk => clk,
  207. enable => enable_internal_ram,
  208. write_byte_enable => byte_we_next,
  209. address => address_next,
  210. data_write => data_w,
  211. data_read => data_read_ram);
  212. u3_uart: uart
  213. generic map (log_file => log_file)
  214. port map(
  215. clk => clk,
  216. reset => reset,
  217. enable_read => enable_uart_read,
  218. enable_write => enable_uart_write,
  219. data_in => data_w(7 downto 0),
  220. data_out => data_read_uart,
  221. uart_read => uart_read,
  222. uart_write => uart_write,
  223. busy_write => uart_write_busy,
  224. data_avail => uart_data_avail);
  225. address <= mem_address;
  226. byte_we <= mem_byte_we;
  227. data_write <= data_w;
  228. eth_pause <= '0';
  229. gpio0_out(28 downto 24) <= ZERO(28 downto 24);
  230. irq_eth_rec <= '0';
  231. irq_eth_send <= '0';
  232. u4_ram2: ram
  233. generic map (memory_type => memory_type)
  234. port map (
  235. clk => clk,
  236. enable => enable_internal_ram2,
  237. write_byte_enable => byte_we_next,
  238. address => address_next,
  239. data_write => data_w,
  240. data_read => data_read_ram2);
  241. u5_pwm: pwm2 port map (
  242. clk => clk,
  243. clk66 => clk66,
  244. reset => reset,
  245. enable => enable_pwm,
  246. address => address_next,
  247. byte_we => byte_we_next,
  248. data_write => data_w,
  249. data_read => data_read_pwm,
  250. pwm_out => pwm_out
  251. );
  252. u6_spi: spi port map (
  253. clk => clk,
  254. clk66 => clk66,
  255. reset => reset,
  256. enable => enable_spi,
  257. address => address_next,
  258. byte_we => byte_we_next,
  259. data_write => data_w,
  260. data_read => data_read_spi,
  261. spi_miso => spi_miso,
  262. spi_mosi => spi_mosi,
  263. spi_clk => spi_clk,
  264. spi_cs => spi_cs
  265. );
  266. end; --architecture logic