No puede seleccionar más de 25 temas Los temas deben comenzar con una letra o número, pueden incluir guiones ('-') y pueden tener hasta 35 caracteres de largo.

203 líneas
5.7KB

  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 16:26:11 07/16/2009
  6. -- Design Name:
  7. -- Module Name: spi - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22. use IEEE.STD_LOGIC_ARITH.ALL;
  23. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  24. ---- Uncomment the following library declaration if instantiating
  25. ---- any Xilinx primitives in this code.
  26. library UNISIM;
  27. use UNISIM.VComponents.all;
  28. entity spi is
  29. Port ( clk : in STD_LOGIC;
  30. clk66 : in std_logic;
  31. reset : in STD_LOGIC;
  32. address : in STD_LOGIC_VECTOR (31 downto 2);
  33. enable : in std_logic;
  34. byte_we : in std_logic_vector(3 downto 0);
  35. data_read : out std_logic_vector(31 downto 0);
  36. data_write : in STD_LOGIC_VECTOR (31 downto 0);
  37. spi_miso : out STD_LOGIC;
  38. spi_mosi : in STD_LOGIC;
  39. spi_clk : in STD_LOGIC;
  40. spi_cs : in STD_LOGIC);
  41. end spi;
  42. architecture Behavioral of spi is
  43. signal transmit_buffer_do : std_logic_vector(7 downto 0);
  44. signal receive_buffer_do : std_logic_vector(7 downto 0);
  45. signal transmit_buffer_wea, receive_buffer_wea : std_logic;
  46. signal spi_transmit_buffer_data : std_logic_vector(7 downto 0);
  47. signal spi_transmit_buffer_address : std_logic_vector(10 downto 0) := (others=>'0');
  48. signal spi_receive_buffer_data : std_logic_vector(7 downto 0);
  49. signal spi_receive_buffer_address : std_logic_vector(10 downto 0) := (others=>'0');
  50. signal spi_receive_buffer_we, spi_receive_buffer_we_last : std_logic;
  51. signal spi_bit_counter : std_logic_vector(2 downto 0) := (others=>'0');
  52. signal spi_clk_reg : std_logic_vector(1 downto 0) := (others=>'0');
  53. signal spi_cs_reg : std_logic_vector(1 downto 0) := (others=>'1');
  54. signal spi_bit_in : std_logic_vector(1 downto 0) := (others=>'0');
  55. signal spi_in : std_logic_vector(7 downto 0) := (others=>'0');
  56. signal spi_out : std_logic_vector(7 downto 0) := (others=>'0');
  57. begin
  58. -- transmit_buffer_wea<=byte_we(0) and not address(13);
  59. -- receive_buffer_wea<=byte_we(0) and address(13);
  60. -- data_read(7 downto 0)<=transmit_buffer_do when address(13)='0' else receive_buffer_do;
  61. transmit_buffer: RAMB16_S9_S9
  62. port map (
  63. CLKA => clk,
  64. ADDRA => address(12 downto 2),
  65. ENA => enable,
  66. WEA => byte_we(0),
  67. DIA => data_write(7 downto 0),
  68. DIPA => (others=>'0'),
  69. DOA => open,
  70. DOPA => open,
  71. SSRA => '0',
  72. CLKB => clk66,
  73. ADDRB => spi_transmit_buffer_address,
  74. ENB => '1',
  75. WEB => '0',
  76. DOB => spi_transmit_buffer_data,
  77. DOPB => open,
  78. DIB => (others=>'0'),
  79. DIPB => (others=>'0'),
  80. SSRB => '0'
  81. );
  82. receive_buffer: RAMB16_S9_S9
  83. port map (
  84. CLKA => clk,
  85. ADDRA => address(12 downto 2),
  86. ENA => enable,
  87. WEA => '0',
  88. DIA => data_write(7 downto 0),
  89. DIPA => (others=>'0'),
  90. DOA => data_read(7 downto 0),
  91. DOPA => open,
  92. SSRA => '0',
  93. CLKB => clk66,
  94. ADDRB => spi_receive_buffer_address,
  95. ENB => '1',
  96. WEB => spi_receive_buffer_we,
  97. DOB => open,
  98. DOPB => open,
  99. DIB => spi_in,
  100. DIPB => (others=>'0'),
  101. SSRB => '0'
  102. );
  103. spi_clk_sample: process(clk66,spi_clk) is
  104. begin
  105. if rising_edge(clk66) then
  106. spi_clk_reg<=spi_clk_reg(0)&spi_clk;
  107. end if;
  108. end process;
  109. spi_cs_sample: process(clk66,spi_cs) is
  110. begin
  111. if rising_edge(clk66) then
  112. spi_cs_reg<=spi_cs_reg(0)&spi_cs;
  113. end if;
  114. end process;
  115. spi_mosi_sample: process(clk66,spi_mosi) is
  116. begin
  117. if rising_edge(clk66) then
  118. spi_bit_in<=spi_bit_in(0)&spi_mosi;
  119. end if;
  120. end process;
  121. spi_transfer: process(clk66,spi_cs_reg,spi_clk_reg,spi_bit_counter,spi_bit_in) is
  122. begin
  123. if rising_edge(clk66) then
  124. spi_receive_buffer_we<='0';
  125. spi_receive_buffer_we_last<=spi_receive_buffer_we;
  126. if(spi_receive_buffer_we_last='1') then
  127. spi_receive_buffer_address<=spi_receive_buffer_address+1;
  128. end if;
  129. case spi_cs_reg is
  130. when "11" =>
  131. spi_bit_counter<=(others=>'0');
  132. spi_transmit_buffer_address<=(others=>'0');
  133. spi_receive_buffer_address<=(others=>'0');
  134. when "10" =>
  135. spi_out<=spi_transmit_buffer_data;
  136. spi_transmit_buffer_address<=spi_transmit_buffer_address+1;
  137. when "00" =>
  138. case spi_clk_reg is
  139. when "01" =>
  140. spi_bit_counter<=spi_bit_counter+1;
  141. spi_in<=spi_in(6 downto 0)&spi_bit_in(1);
  142. if(spi_bit_counter="111") then
  143. spi_receive_buffer_we<='1';
  144. end if;
  145. when "10" =>
  146. if(spi_bit_counter="000") then
  147. spi_out<=spi_transmit_buffer_data;
  148. spi_transmit_buffer_address<=spi_transmit_buffer_address+1;
  149. else
  150. spi_out<=spi_out(6 downto 0)&'0';
  151. end if;
  152. when others =>
  153. end case;
  154. when others =>
  155. end case;
  156. end if;
  157. end process;
  158. spi_miso<=spi_out(7);
  159. end Behavioral;