No puede seleccionar más de 25 temas Los temas deben comenzar con una letra o número, pueden incluir guiones ('-') y pueden tener hasta 35 caracteres de largo.

118 líneas
3.0KB

  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use IEEE.STD_LOGIC_ARITH.ALL;
  4. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  5. ---- Uncomment the following library declaration if instantiating
  6. ---- any Xilinx primitives in this code.
  7. --library UNISIM;
  8. --use UNISIM.VComponents.all;
  9. entity top is
  10. Port ( clk : in STD_LOGIC;
  11. servo : out STD_LOGIC_VECTOR (17 downto 0);
  12. led : out STD_LOGIC_VECTOR(7 downto 0)
  13. );
  14. end top;
  15. architecture Behavioral of top is
  16. signal counter : std_logic_vector(4 downto 0) := (others=>'0'); -- runs to 50 to get a usec clk at 50 MHz chip clock
  17. signal clk_usec : std_logic := '0'; -- one rising edge per usec
  18. signal counter_usec_20ms : std_logic_vector(14 downto 0) := (others=>'0'); -- counts to 20 000
  19. signal counter_usec_1sec : std_logic_vector(20 downto 0) := (others=>'0'); -- counts to 500 000
  20. signal clk_sec : std_logic := '0';
  21. signal counter_sec : std_logic_vector(7 downto 0); -- counts to 256
  22. signal servo0pulse : std_logic_vector(10 downto 0) := "10111011100"; -- pulse width-1000: 1000 to 2023 ms
  23. signal servo1pulse : std_logic_vector(10 downto 0) := "10111011100"; -- pulse width-1000: 1000 to 2023 ms
  24. signal servo2pulse : std_logic_vector(10 downto 0) := "10111011100"; -- pulse width-1000: 1000 to 2023 ms
  25. begin
  26. count_50mhz : process (clk) is
  27. begin
  28. if(clk'event and clk='1') then
  29. counter<=counter+1;
  30. if(counter=25) then
  31. counter<=(others=>'0');
  32. if(clk_usec='1') then
  33. clk_usec<='0';
  34. else
  35. clk_usec<='1';
  36. end if;
  37. end if;
  38. end if;
  39. end process;
  40. count_ms : process(clk_usec) is
  41. begin
  42. if(clk_usec'event and clk_usec='1') then
  43. counter_usec_20ms<=counter_usec_20ms+1;
  44. if(counter_usec_20ms=20000) then
  45. counter_usec_20ms<=(others=>'0');
  46. end if;
  47. counter_usec_1sec<=counter_usec_1sec+1;
  48. if(counter_usec_1sec=500000) then
  49. counter_usec_1sec<=(others=>'0');
  50. if(clk_sec='0') then
  51. clk_sec<='1';
  52. else
  53. clk_sec<='0';
  54. end if;
  55. end if;
  56. end if;
  57. end process;
  58. count_sec : process(clk_sec) is
  59. begin
  60. if(clk_sec'event and clk_sec='1') then
  61. counter_sec<=counter_sec+1;
  62. servo2pulse<=servo2pulse-4;
  63. if(servo2pulse<1200) then
  64. servo2pulse<="10111011100";
  65. end if;
  66. end if;
  67. end process;
  68. servo0 : process (counter_usec_20ms,servo0pulse) is
  69. begin
  70. if(counter_usec_20ms<"01111101000") then
  71. servo(0)<='1';
  72. elsif(counter_usec_20ms<servo0pulse) then
  73. servo(0)<='1';
  74. else
  75. servo(0)<='0';
  76. end if;
  77. end process;
  78. servo1 : process (counter_usec_20ms,servo1pulse) is
  79. begin
  80. if(counter_usec_20ms<"01111101000") then
  81. servo(1)<='1';
  82. elsif(counter_usec_20ms<servo1pulse) then
  83. servo(1)<='1';
  84. else
  85. servo(1)<='0';
  86. end if;
  87. end process;
  88. servo2 : process (counter_usec_20ms,servo2pulse) is
  89. begin
  90. if(counter_usec_20ms<"01111101000") then
  91. servo(2)<='1';
  92. elsif(counter_usec_20ms<servo2pulse) then
  93. servo(2)<='1';
  94. else
  95. servo(2)<='0';
  96. end if;
  97. end process;
  98. servo(17 downto 3)<=(others=>'0');
  99. led(7 downto 0)<=counter_sec(7 downto 0);
  100. end Behavioral;